SyncTeX Version:1 Input:1:C:\Users\Simon\Dropbox\29_Berger_Herkenhoff_Mongey\21_Minimum_Wage\2_Draft\Slides_Hurst_discussion_Portugal.tex Input:2:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamer.cls Input:3:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasemodes.sty Input:4:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\etoolbox\etoolbox.sty Input:5:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasedecode.sty Input:6:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\iftex\iftex.sty Input:7:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseoptions.sty Input:8:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics\keyval.sty Input:9:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\geometry\geometry.sty Input:10:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\iftex\ifvtex.sty Input:11:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\geometry\geometry.cfg Input:12:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\pgf\math\pgfmath.sty Input:13:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\pgf\utilities\pgfrcs.sty Input:14:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfutil-common.tex Input:15:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfutil-common-lists.tex Input:16:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfutil-latex.def Input:17:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfrcs.code.tex Input:18:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\pgf.revision.tex Input:19:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\pgf\utilities\pgfkeys.sty Input:20:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfkeys.code.tex Input:21:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfkeysfiltered.code.tex Input:22:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmath.code.tex Input:23:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathcalc.code.tex Input:24:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathutil.code.tex Input:25:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathparser.code.tex Input:26:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.code.tex Input:27:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.basic.code.tex Input:28:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.trigonometric.code.tex Input:29:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.random.code.tex Input:30:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.comparison.code.tex Input:31:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.base.code.tex Input:32:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.round.code.tex Input:33:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.misc.code.tex Input:34:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfunctions.integerarithmetics.code.tex Input:35:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmathfloat.code.tex Input:36:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\base\size10.clo Input:37:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\pgf\basiclayer\pgfcore.sty Input:38:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics\graphicx.sty Input:39:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics\graphics.sty Input:40:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics\trig.sty Input:41:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics-cfg\graphics.cfg Input:42:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics-def\pdftex.def Input:43:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\pgf\systemlayer\pgfsys.sty Input:44:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\systemlayer\pgfsys.code.tex Input:45:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\utilities\pgfkeys.code.tex Input:46:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\systemlayer\pgf.cfg Input:47:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\systemlayer\pgfsys-pdftex.def Input:48:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\systemlayer\pgfsys-common-pdf.def Input:49:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\systemlayer\pgfsyssoftpath.code.tex Input:50:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\systemlayer\pgfsysprotocol.code.tex Input:51:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\xcolor\xcolor.sty Input:52:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics-cfg\color.cfg Input:53:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\graphics\mathcolor.ltx Input:54:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcore.code.tex Input:55:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfmath.code.tex Input:56:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\math\pgfint.code.tex Input:57:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorepoints.code.tex Input:58:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorepathconstruct.code.tex Input:59:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorepathusage.code.tex Input:60:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorescopes.code.tex Input:61:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoregraphicstate.code.tex Input:62:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoretransformations.code.tex Input:63:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorequick.code.tex Input:64:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoreobjects.code.tex Input:65:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorepathprocessing.code.tex Input:66:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorearrows.code.tex Input:67:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoreshade.code.tex Input:68:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoreimage.code.tex Input:69:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoreexternal.code.tex Input:70:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorelayers.code.tex Input:71:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcoretransparency.code.tex Input:72:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorepatterns.code.tex Input:73:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pgf\basiclayer\pgfcorerdf.code.tex Input:74:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\pgf\utilities\xxcolor.sty Input:75:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\base\atbegshi-ltx.sty Input:76:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\hyperref\hyperref.sty Input:77:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\ltxcmds\ltxcmds.sty Input:78:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pdftexcmds\pdftexcmds.sty Input:79:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\infwarerr\infwarerr.sty Input:80:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\kvsetkeys\kvsetkeys.sty Input:81:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\kvdefinekeys\kvdefinekeys.sty Input:82:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\pdfescape\pdfescape.sty Input:83:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\hycolor\hycolor.sty Input:84:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\letltxmacro\letltxmacro.sty Input:85:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\auxhook\auxhook.sty Input:86:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\hyperref\nameref.sty Input:87:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\refcount\refcount.sty Input:88:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\gettitlestring\gettitlestring.sty Input:89:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\kvoptions\kvoptions.sty Input:90:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\hyperref\pd1enc.def Input:91:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\intcalc\intcalc.sty Input:92:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\etexcmds\etexcmds.sty Input:93:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\hyperref\puenc.def Input:94:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\url\url.sty Input:95:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\bitset\bitset.sty Input:96:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\bigintcalc\bigintcalc.sty Input:97:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\hyperref\hpdftex.def Input:98:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\base\atveryend-ltx.sty Input:99:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\rerunfilecheck\rerunfilecheck.sty Input:100:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\uniquecounter\uniquecounter.sty Input:101:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaserequires.sty Input:102:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasecompatibility.sty Input:103:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasefont.sty Input:104:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsfonts\amssymb.sty Input:105:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsfonts\amsfonts.sty Input:106:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\sansmathaccent\sansmathaccent.sty Input:107:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\koma-script\scrlfile.sty Input:108:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\koma-script\scrlfile-hook.sty Input:109:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\koma-script\scrlogo.sty Input:110:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasetranslator.sty Input:111:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator.sty Input:112:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasemisc.sty Input:113:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasetwoscreens.sty Input:114:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseoverlay.sty Input:115:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasetitle.sty Input:116:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasesection.sty Input:117:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseframe.sty Input:118:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseverbatim.sty Input:119:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseframesize.sty Input:120:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseframecomponents.sty Input:121:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasecolor.sty Input:122:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasenotes.sty Input:123:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasetoc.sty Input:124:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasetemplates.sty Input:125:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseauxtemplates.sty Input:126:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaseboxes.sty Input:127:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbaselocalstructure.sty Input:128:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\tools\enumerate.sty Input:129:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasenavigation.sty Input:130:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasenavigationsymbols.tex Input:131:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasetheorems.sty Input:132:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsmath\amsmath.sty Input:133:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsmath\amstext.sty Input:134:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsmath\amsgen.sty Input:135:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsmath\amsbsy.sty Input:136:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amsmath\amsopn.sty Input:137:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\amscls\amsthm.sty Input:138:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerbasethemes.sty Input:139:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerthemedefault.sty Input:140:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerfontthemedefault.sty Input:141:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamercolorthemedefault.sty Input:142:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerinnerthemedefault.sty Input:143:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerouterthemedefault.sty Input:144:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\mathpazo.sty Input:145:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\multimedia.sty Input:146:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\generic\iftex\ifpdf.sty Input:147:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\colortbl\colortbl.sty Input:148:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\tools\array.sty Input:149:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\subfigure\subfigure.sty Input:150:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\subfigure\subfigure.cfg Input:151:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\booktabs\booktabs.sty Input:152:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\tools\multicol.sty Input:153:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\ragged2e\ragged2e.sty Input:154:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\pifont.sty Input:155:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\upzd.fd Input:156:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\upsy.fd Input:157:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\mathtools\mathtools.sty Input:158:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\tools\calc.sty Input:159:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\mathtools\mhsetup.sty Input:160:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerthemeMadrid.sty Input:161:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamercolorthemewhale.sty Input:162:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamercolorthemeorchid.sty Input:163:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerinnerthemerounded.sty Input:164:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamerouterthemeinfolines.sty Input:165:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\beamer\beamercolorthemedolphin.sty Input:166:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\l3backend\l3backend-pdftex.def Input:167:C:\Users\Simon\Dropbox\29_Berger_Herkenhoff_Mongey\21_Minimum_Wage\2_Draft\Slides_Hurst_discussion_Portugal.aux Input:168:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\context\base\mkii\supp-pdf.mkii Input:169:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\epstopdf-pkg\epstopdf-base.sty Input:170:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\00miktex\epstopdf-sys.cfg Input:171:C:\Users\Simon\Dropbox\29_Berger_Herkenhoff_Mongey\21_Minimum_Wage\2_Draft\Slides_Hurst_discussion_Portugal.out Input:172:C:\Users\Simon\Dropbox\29_Berger_Herkenhoff_Mongey\21_Minimum_Wage\2_Draft\Slides_Hurst_discussion_Portugal.out Input:173:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator-basic-dictionary-English.dict Input:174:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator-bibliography-dictionary-English.dict Input:175:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator-environment-dictionary-English.dict Input:176:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator-months-dictionary-English.dict Input:177:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator-numbers-dictionary-English.dict Input:178:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\translator\translator-theorem-dictionary-English.dict Input:179:C:\Users\Simon\Dropbox\29_Berger_Herkenhoff_Mongey\21_Minimum_Wage\2_Draft\Slides_Hurst_discussion_Portugal.nav Input:180:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\ot1phv.fd Input:181:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\omlzplm.fd Input:182:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\omszplm.fd Input:183:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\omxzplm.fd Input:184:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\ot1zplm.fd Input:185:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\sansmathaccent\ot1mathkerncmss.fd Output:pdf Magnification:1000 Unit:1 X Offset:0 Y Offset:0 Content: !17652 {1 [1,124:4736286,16782118:23918942,12045832,0 h1,124:4736286,4736286:0,0,0 (1,124:4736286,4736286:0,0,0 [1,124:0,4736286:0,0,0 (1,124:0,0:0,0,0 (1,124:0,4736286:0,0,0 k1,124:0,4736286:4736286 ) g1,124:0,0 ) ] ) [1,124:4736286,4736286:0,0,0 (1,124:4736286,-65781:0,0,0 k1,124:4736286,-65781:3491347 ) ] [1,124:4736286,16782118:23918942,12045832,0 [1,124:1310720,16782118:27344508,16782118,0 [1,124:1310720,0:27344508,0,0 (1,124:1310720,0:27344508,0,0 (1,124:1310720,0:27344508,0,0 [1,124:1310720,0:27344508,0,0 (1,124:1310720,0:-1310720,0,0 g1,124:0,0 (1,124:0,0:0,0,0 (1,124:0,16782118:29834876,16782118,0 (1,124:0,16782118:29834876,16782118,0 r1,124:29834876,16782118:29834876,16782118,0 ) ) ) (1,124:0,0:0,0,0 (1,124:0,0:0,0,0 (1,124:0,0:0,0,0 ) ) ) ) (1,124:1310720,0:-2490368,0,0 (1,124:1310720,0:0,0,0 (1,124:1310720,16782118:-1310720,16782118,0 (1,124:1310720,16782118:-1310720,16782118,0 g1,124:0,16782118 (1,124:0,16782118:0,0,0 [1,124:0,16782118:27344508,16782118,0 (1,124:0,16782118:27344508,16782118,0 h1,124:0,16782118:0,0,0 r1,124:0,16782118:0,16782118,0 k1,124:27344508,16782118:27344508 g1,124:27344508,16782118 ) ] ) [1,124:0,16782118:0,16782118,0 ] ) ) ) (1,124:1310720,0:0,0,0 (1,124:1310720,16782118:28524156,16782118,0 (1,124:1310720,16782118:28524156,16782118,0 g1,124:28655228,16782118 g1,124:29834876,16782118 (1,124:29834876,16782118:0,0,0 [1,124:29834876,16782118:27344508,16782118,0 (1,124:29834876,16782118:27344508,16782118,0 h1,124:29834876,16782118:0,0,0 r1,124:29834876,16782118:0,16782118,0 k1,124:57179384,16782118:27344508 g1,124:57179384,16782118 ) ] ) [1,124:29834876,16782118:0,16782118,0 (1,124:29834876,16192294:0,0,0 k1,124:29648397,16192294:-186479 g1,124:29834876,16192294 ) (1,124:29834876,16651046:0,0,0 k1,124:29648397,16651046:-186479 g1,124:29834876,16651046 ) ] ) ) ) (1,124:1310720,0:-2490368,0,0 g1,124:0,0 (1,124:0,0:0,0,0 [1,124:0,0:0,0,0 ] ) g1,124:-1179648,0 ) g1,124:-1179648,0 ) (1,124:1310720,0:27344508,0,0 h1,124:1310720,0:0,0,0 k1,124:28655228,0:27344508 g1,124:28655228,0 ) ] ) ) ] [1,124:1310720,16519974:27344508,16519974,0 [1,124:1310720,16519974:27344508,16519974,0 v1,124:1310720,0:0,0,0 v1,124:1310720,0:0,0,0 (1,124:1310720,1532292:27344508,655359,183500 h1,124:1310720,1532292:0,0,0 g1,124:2056580,1532292 x1,124:2449796,1532292 x1,124:3089855,1532292 k1,124:15872541,1532292:12782686 k1,124:28655227,1532292:12782686 ) v1,124:1310720,1879632:0,0,0 (1,124:1310720,3487617:27344508,655359,183500 k1,124:1857103,3487617:546383 (1,124:1857103,3487617:0,0,0 g1,124:1857103,3487617 g1,124:1857103,3487617 g1,124:1529423,3487617 (1,124:1529423,3487617:0,0,0 ) g1,124:1857103,3487617 ) x1,124:6096572,3487617 g1,124:6507258,3487617 x1,124:8022212,3487617 g1,124:8330227,3487617 x1,124:10761038,3487617 x1,124:14364924,3487617 g1,124:14672939,3487617 x1,124:18389656,3487617 g1,124:18697671,3487617 x1,124:19442041,3487617 g1,124:19750056,3487617 x1,124:21165614,3487617 x1,124:21653304,3487617 x1,124:21941659,3487617 g1,124:22249674,3487617 x1,124:23493752,3487617 x1,124:24230476,3487617 x1,124:24949178,3487617 g1,124:25257193,3487617 x1,124:25844825,3487617 x1,124:28108846,3487617 g1,124:28108846,3487617 k1,124:28655228,3487617:546382 ) (1,124:1310720,7071068:27344508,455111,127431 k1,124:12729708,7071068:11418988 h1,124:12729708,7071068:0,0,0 x1,124:14593851,7071068 g1,124:14834151,7071068 x1,124:17226226,7071068 g1,124:17236239,7071068 k1,124:28655227,7071068:11418988 ) (1,124:1310720,8164894:27344508,409600,114688 k1,124:12286100,8164894:10975380 h1,124:12286100,8164894:0,0,0 x1,124:14512756,8164894 x1,124:14775352,8164894 g1,124:14977420,8164894 x1,124:15465751,8164894 g1,124:15667819,8164894 x1,124:17679849,8164894 g1,124:17679849,8164894 k1,124:28655229,8164894:10975380 ) (1,124:1310720,8885790:27344508,409600,114688 k1,124:10301238,8885790:8990518 h1,124:10301238,8885790:0,0,0 x1,124:10648485,8885790 x1,124:12126675,8885790 g1,124:12328743,8885790 x1,124:14248662,8885790 g1,124:14450730,8885790 x1,124:15755977,8885790 g1,124:15958045,8885790 x1,124:16446376,8885790 g1,124:16648444,8885790 x1,124:18822946,8885790 x1,124:19664711,8885790 g1,124:19664711,8885790 k1,124:28655229,8885790:8990518 ) (1,124:1310720,12403705:27344508,409600,114688 k1,124:7317459,12403705:6006739 h1,124:7317459,12403705:0,0,0 x1,124:8455683,12403705 g1,124:8657751,12403705 x1,124:10239250,12403705 g1,124:10441318,12403705 x1,124:11023856,12403705 g1,124:11225924,12403705 x1,124:11613676,12403705 x1,124:11899939,12403705 x1,124:13361288,12403705 g1,124:13563356,12403705 x1,124:16349983,12403705 g1,124:16552051,12403705 x1,124:17168266,12403705 g1,124:17370334,12403705 x1,124:19295896,12403705 x1,124:19765566,12403705 g1,124:19967634,12403705 x1,124:22648489,12403705 g1,124:22648489,12403705 k1,124:28655228,12403705:6006739 ) (1,124:1310720,13497531:27344508,409600,0 k1,124:13684552,13497531:12373832 h1,124:13684552,13497531:0,0,0 x1,124:14866921,13497531 g1,124:15068989,13497531 x1,124:16281397,13497531 g1,124:16281397,13497531 k1,124:28655229,13497531:12373832 ) (1,124:1310720,14919037:27344508,318577,89201 h1,124:1310720,14919037:0,0,0 x1,124:2111954,14919037 g1,124:2274431,14919037 x1,124:3351715,14919037 g1,124:3514192,14919037 x1,124:4207107,14919037 x1,124:5418673,14919037 g1,124:5581150,14919037 x1,124:6800521,14919037 g1,124:6962998,14919037 x1,124:7197155,14919037 x1,124:7566710,14919037 g1,124:7729187,14919037 x1,124:8804081,14919037 g1,124:8966558,14919037 x1,124:9359210,14919037 g1,124:9521687,14919037 x1,124:10166018,14919037 g1,124:10328495,14919037 x1,124:11485741,14919037 x1,124:11825508,14919037 g1,124:11987985,14919037 x1,124:12725500,14919037 g1,124:12887977,14919037 x1,124:13559387,14919037 g1,124:13721864,14919037 x1,124:14796758,14919037 g1,124:14959235,14919037 x1,124:15351887,14919037 g1,124:15514364,14919037 x1,124:16158695,14919037 g1,124:16321172,14919037 x1,124:17726276,14919037 g1,124:17888753,14919037 x1,124:18132468,14919037 x1,124:18285387,14919037 g1,124:18447864,14919037 x1,124:19092195,14919037 g1,124:19254672,14919037 x1,124:19533432,14919037 x1,124:20721741,14919037 g1,124:20884218,14919037 x1,124:22427429,14919037 g1,124:22589906,14919037 x1,124:24187275,14919037 g1,124:24403911,14919037 k1,124:26529570,14919037:2125659 k1,124:28655228,14919037:2125658 ) ] ] (1,124:1310720,16782118:27344508,0,0 (1,124:1310720,16782118:27344508,0,0 [1,124:1310720,16782118:27344508,0,0 (1,124:1310720,16782118:27344508,0,0 (1,124:1310720,16782118:27344508,0,0 g1,124:0,16782118 (1,124:0,16782118:29834876,0,0 [1,124:0,16782118:29834876,0,0 (1,124:0,16782118:29834876,0,0 h1,124:0,16782118:0,0,0 $1,124:0,16782118 [1,124:0,16782118:20511477,-133806,-297646 (1,124:0,16411564:20511477,241500,72908 h1,124:0,16411564:0,0,0 k1,124:1305270,16411564:1305270 k1,124:1382640,16411564:77370 k1,124:1452107,16411564:69467 x1,124:2089439,16411564 x1,124:2453815,16411564 x1,124:2611103,16411564 k1,124:2680570,16411564:69467 x1,124:2789686,16411564 k1,124:2859153,16411564:69467 x1,124:3332321,16411564 x1,124:3842189,16411564 x1,124:4443149,16411564 k1,124:4512616,16411564:69467 x1,124:4785895,16411564 k1,124:4855362,16411564:69467 x1,124:5638181,16411564 k1,124:5707648,16411564:69467 x1,124:5980927,16411564 k1,124:6050394,16411564:69467 x1,124:6305326,16411564 k1,124:6374793,16411564:69467 x1,124:7321777,16411564 k1,124:7391244,16411564:69467 k1,124:27902721,16411564:20511477 k1,124:27972188,16411564:69467 x1,124:28154376,16411564 x1,124:28871653,16411564 k1,124:28871653,16411564:0 k1,124:28871653,16411564:0 ) ] $1,124:20511477,16782118 k1,124:29834876,16782118:9323399 g1,124:29834876,16782118 ) ] ) g1,124:28655228,16782118 ) g1,124:28655228,16782118 g1,124:28655228,16782118 ) h1,124:1310720,16782118:0,0,0 ] g1,124:28655228,16782118 ) ) ] ] ] !7785 }1 !9 {2 [1,149:4736286,16782118:23918942,12045832,0 (1,149:4736286,4736286:0,0,0 [1,149:0,4736286:0,0,0 (1,149:0,0:0,0,0 (1,149:0,4736286:0,0,0 k1,149:0,4736286:4736286 ) g1,149:0,0 ) ] ) [1,149:4736286,4736286:0,0,0 (1,149:4736286,-65781:0,0,0 k1,149:4736286,-65781:3491347 ) ] [1,149:4736286,16782118:23918942,12045832,0 [1,149:1310720,16782118:27344508,16782118,0 [1,149:1310720,0:27344508,0,0 (1,149:1310720,0:27344508,0,0 (1,149:1310720,0:27344508,0,0 [1,149:1310720,0:27344508,0,0 (1,149:1310720,0:-1310720,0,0 g1,149:0,0 (1,149:0,0:0,0,0 (1,149:0,16782118:29834876,16782118,0 (1,149:0,16782118:29834876,16782118,0 r1,149:29834876,16782118:29834876,16782118,0 ) ) ) (1,149:0,0:0,0,0 (1,149:0,0:0,0,0 (1,149:0,0:0,0,0 ) ) ) ) (1,149:1310720,0:-2490368,0,0 (1,149:1310720,0:0,0,0 (1,149:1310720,16782118:-1310720,16782118,0 (1,149:1310720,16782118:-1310720,16782118,0 g1,149:0,16782118 (1,149:0,16782118:0,0,0 [1,149:0,16782118:27344508,16782118,0 (1,149:0,16782118:27344508,16782118,0 h1,149:0,16782118:0,0,0 r1,149:0,16782118:0,16782118,0 k1,149:27344508,16782118:27344508 g1,149:27344508,16782118 ) ] ) [1,149:0,16782118:0,16782118,0 ] ) ) ) (1,149:1310720,0:0,0,0 (1,149:1310720,16782118:28524156,16782118,0 (1,149:1310720,16782118:28524156,16782118,0 g1,149:28655228,16782118 g1,149:29834876,16782118 (1,149:29834876,16782118:0,0,0 [1,149:29834876,16782118:27344508,16782118,0 (1,149:29834876,16782118:27344508,16782118,0 h1,149:29834876,16782118:0,0,0 r1,149:29834876,16782118:0,16782118,0 k1,149:57179384,16782118:27344508 g1,149:57179384,16782118 ) ] ) [1,149:29834876,16782118:0,16782118,0 (1,149:29834876,16192294:0,0,0 k1,149:29648397,16192294:-186479 g1,149:29834876,16192294 ) (1,149:29834876,16651046:0,0,0 k1,149:29648397,16651046:-186479 g1,149:29834876,16651046 ) ] ) ) ) (1,149:1310720,0:-2490368,0,0 g1,149:0,0 (1,149:0,0:0,0,0 [1,149:0,0:0,0,0 ] ) g1,149:-1179648,0 ) g1,149:-1179648,0 ) (1,149:1310720,0:27344508,0,0 h1,149:1310720,0:0,0,0 k1,149:28655228,0:27344508 g1,149:28655228,0 ) ] ) ) ] [1,149:1310720,16519974:27344508,16519974,0 [1,149:1310720,16519974:27344508,16519974,0 v1,149:1310720,0:0,0,0 v1,149:1310720,0:0,0,0 (1,149:1310720,1532292:27344508,655359,363719 h1,149:1310720,1532292:0,0,0 g1,149:2056580,1532292 $1,149:2056580,1532292 [1,149:2056580,1532292:7605467,568190,363719 (1,149:2056580,1532292:7605467,568190,174979 x1,149:3892089,1532292 g1,149:4110709,1532292 x1,149:5159009,1532292 g1,149:5377629,1532292 x1,149:7301218,1532292 g1,149:7519838,1532292 x1,149:8175709,1532292 g1,149:8394329,1532292 x1,149:9268831,1532292 x1,149:9662047,1532292 ) ] $1,149:9662047,1532292 x1,149:10302106,1532292 k1,149:19478667,1532292:9176561 k1,149:28655228,1532292:9176561 ) v1,149:1310720,2059851:0,0,0 (1,149:1310720,3778622:27344508,473491,140240 h1,149:1310720,3778622:0,0,0 g1,149:2056580,3778622 x1,149:2457004,3778622 x1,149:3821459,3778622 g1,149:4003642,3778622 x1,149:4732394,3778622 g1,149:4914577,3778622 x1,149:6553607,3778622 x1,149:7391151,3778622 x1,149:7699174,3778622 g1,149:7881357,3778622 x1,149:8828341,3778622 x1,149:9036732,3778622 g1,149:9218915,3778622 k1,149:18937071,3778622:9718156 k1,149:28655227,3778622:9718156 ) (1,149:2621442,4970752:26033786,473491,145816 (1,149:2621442,4970752:0,291271,0 g1,149:2621442,4970752 g1,149:1638400,4970752 g1,149:1310720,4970752 (1,149:1310720,4970752:983042,291271,0 k1,149:2293762,4970752:983042 (1,149:2293762,4970752:0,291271,0 k1,149:2075309,4970752:-218453 x1,149:2293762,4970752 ) ) g1,149:2621442,4970752 ) x1,149:4442018,4970752 g1,149:4624201,4970752 x1,149:7793478,4970752 x1,149:8290238,4970752 g1,149:8472421,4970752 x1,149:8982285,4970752 g1,149:9164468,4970752 x1,149:10075403,4970752 g1,149:10257586,4970752 x1,149:11714434,4970752 x1,149:11932666,4970752 x1,149:12671241,4970752 g1,149:12853424,4970752 k1,149:20754326,4970752:7900902 k1,149:28655228,4970752:7900902 ) (1,149:2621442,6130114:26033786,473491,140240 (1,149:2621442,6130114:0,291271,0 g1,149:2621442,6130114 g1,149:1638400,6130114 g1,149:1310720,6130114 (1,149:1310720,6130114:983042,291271,0 k1,149:2293762,6130114:983042 (1,149:2293762,6130114:0,291271,0 k1,149:2075309,6130114:-218453 x1,149:2293762,6130114 ) ) g1,149:2621442,6130114 ) x1,149:5351650,6130114 g1,149:5533833,6130114 x1,149:8703110,6130114 x1,149:9199870,6130114 g1,149:9382053,6130114 x1,149:9891917,6130114 g1,149:10074100,6130114 x1,149:10985035,6130114 g1,149:11167218,6130114 x1,149:12441882,6130114 x1,149:12868505,6130114 x1,149:13086737,6130114 x1,149:13825312,6130114 g1,149:14007495,6130114 k1,149:21331362,6130114:7323867 k1,149:28655228,6130114:7323866 ) (1,149:1310720,8068133:27344508,483000,10808 h1,149:1310720,8068133:0,0,0 g1,149:2056580,8068133 x1,149:4132730,8068133 g1,149:4314913,8068133 k1,149:16485071,8068133:12170158 k1,149:28655229,8068133:12170158 ) (1,149:2621442,9633193:26033786,483000,145816 (1,149:2621442,9633193:0,429625,0 g1,149:2621442,9633193 g1,149:1638400,9633193 g1,149:1310720,9633193 (1,149:1310720,9633193:983042,429625,0 k1,149:2293762,9633193:983042 (1,149:2293762,9633193:0,429625,0 k1,149:1784036,9633193:-509726 x1,149:2293762,9633193 ) ) g1,149:2621442,9633193 ) x1,149:4333226,9633193 x1,149:4680562,9633193 x1,149:4862745,9633193 g1,149:5044928,9633193 x1,149:7704343,9633193 g1,149:7886526,9633193 x1,149:9161190,9633193 x1,149:9369581,9633193 g1,149:9551764,9633193 x1,149:9733947,9633193 g1,149:9916130,9633193 x1,149:11154746,9633193 g1,149:11336929,9633193 x1,149:11555161,9633193 x1,149:12293736,9633193 g1,149:12475919,9633193 x1,149:12840295,9633193 x1,149:13204671,9633193 x1,149:14386933,9633193 g1,149:14569116,9633193 x1,149:15078980,9633193 g1,149:15261163,9633193 x1,149:15625539,9633193 g1,149:15807722,9633193 x1,149:18757464,9633193 x1,149:19105456,9633193 g1,149:19287639,9633193 x1,149:20234623,9633193 x1,149:21098366,9633193 g1,149:21280549,9633193 x1,149:23392749,9633193 x1,149:24458348,9633193 g1,149:24640531,9633193 x1,149:26425059,9633193 g1,149:26607242,9633193 k1,149:27631235,9633193:1023993 k1,149:28655228,9633193:1023993 ) (1,149:2621442,11165485:26033786,483000,145816 (1,149:2621442,11165485:0,429625,0 g1,149:2621442,11165485 g1,149:1638400,11165485 g1,149:1310720,11165485 (1,149:1310720,11165485:983042,429625,0 k1,149:2293762,11165485:983042 (1,149:2293762,11165485:0,429625,0 k1,149:1784036,11165485:-509726 x1,149:2293762,11165485 ) ) g1,149:2621442,11165485 ) x1,149:5754014,11165485 x1,149:6575174,11165485 x1,149:6757357,11165485 g1,149:6939540,11165485 x1,149:7668292,11165485 x1,149:8131636,11165485 g1,149:8313819,11165485 x1,149:9260803,11165485 x1,149:10121938,11165485 g1,149:10304121,11165485 x1,149:10777289,11165485 g1,149:10959472,11165485 x1,149:11979199,11165485 g1,149:12161382,11165485 x1,149:13254510,11165485 g1,149:13436693,11165485 x1,149:14820796,11165485 x1,149:15907371,11165485 g1,149:16089554,11165485 x1,149:17364218,11165485 x1,149:17790841,11165485 g1,149:17973024,11165485 x1,149:19066152,11165485 g1,149:19248335,11165485 x1,149:20705183,11165485 x1,149:20923415,11165485 x1,149:21661990,11165485 g1,149:21844173,11165485 x1,149:22208549,11165485 x1,149:22572925,11165485 x1,149:24119563,11165485 g1,149:24344994,11165485 x1,149:25546914,11165485 x1,149:26590232,11165485 k1,149:27622730,11165485:1032498 k1,149:28655228,11165485:1032498 ) (1,149:2621442,12697777:26033786,478735,140240 (1,149:2621442,12697777:0,429625,0 g1,149:2621442,12697777 g1,149:1638400,12697777 g1,149:1310720,12697777 (1,149:1310720,12697777:983042,429625,0 k1,149:2293762,12697777:983042 (1,149:2293762,12697777:0,429625,0 k1,149:1784036,12697777:-509726 x1,149:2293762,12697777 ) ) g1,149:2621442,12697777 ) x1,149:4332570,12697777 x1,149:5798593,12697777 x1,149:5980776,12697777 g1,149:6162959,12697777 x1,149:7109943,12697777 g1,149:7292126,12697777 x1,149:8566790,12697777 x1,149:8775181,12697777 g1,149:8957364,12697777 x1,149:9175596,12697777 x1,149:9914171,12697777 g1,149:10096354,12697777 x1,149:10460730,12697777 x1,149:10825106,12697777 x1,149:12007368,12697777 g1,149:12189551,12697777 x1,149:14082871,12697777 g1,149:14308302,12697777 x1,149:15328029,12697777 g1,149:15510212,12697777 x1,149:16967059,12697777 g1,149:17192490,12697777 k1,149:22923859,12697777:5731369 k1,149:28655228,12697777:5731369 ) (1,149:2621442,14230069:26033786,483000,145816 (1,149:2621442,14230069:0,429625,0 g1,149:2621442,14230069 g1,149:1638400,14230069 g1,149:1310720,14230069 (1,149:1310720,14230069:983042,429625,0 k1,149:2293762,14230069:983042 (1,149:2293762,14230069:0,429625,0 k1,149:1784036,14230069:-509726 x1,149:2293762,14230069 ) ) g1,149:2621442,14230069 ) x1,149:3458977,14230069 x1,149:4925647,14230069 x1,149:5902126,14230069 x1,149:6018772,14230069 g1,149:6200955,14230069 x1,149:6565331,14230069 x1,149:6873354,14230069 x1,149:7439578,14230069 x1,149:9207713,14230069 g1,149:9389896,14230069 x1,149:10591816,14230069 g1,149:10773999,14230069 x1,149:12960255,14230069 g1,149:13142438,14230069 x1,149:13688997,14230069 g1,149:13871180,14230069 x1,149:15109148,14230069 x1,149:15460420,14230069 g1,149:15642603,14230069 x1,149:16662330,14230069 g1,149:16844513,14230069 x1,149:18302017,14230069 g1,149:18484200,14230069 x1,149:20888678,14230069 g1,149:21070861,14230069 x1,149:21580725,14230069 g1,149:21762908,14230069 x1,149:22892074,14230069 k1,149:25773651,14230069:2881577 k1,149:28655228,14230069:2881577 ) ] ] (1,149:1310720,16782118:27344508,0,0 (1,149:1310720,16782118:27344508,0,0 [1,149:1310720,16782118:27344508,0,0 (1,149:1310720,16782118:27344508,0,0 (1,149:1310720,16782118:27344508,0,0 g1,149:0,16782118 (1,149:0,16782118:29834876,0,0 [1,149:0,16782118:29834876,0,0 (1,149:0,16782118:29834876,0,0 h1,149:0,16782118:0,0,0 $1,149:0,16782118 [1,149:0,16782118:20511477,-133806,-297646 (1,149:0,16411564:20511477,241500,72908 h1,149:0,16411564:0,0,0 k1,149:1305270,16411564:1305270 k1,149:1382640,16411564:77370 k1,149:1452107,16411564:69467 x1,149:2089439,16411564 x1,149:2453815,16411564 x1,149:2611103,16411564 k1,149:2680570,16411564:69467 x1,149:2789686,16411564 k1,149:2859153,16411564:69467 x1,149:3332321,16411564 x1,149:3842189,16411564 x1,149:4443149,16411564 k1,149:4512616,16411564:69467 x1,149:4785895,16411564 k1,149:4855362,16411564:69467 x1,149:5638181,16411564 k1,149:5707648,16411564:69467 x1,149:5980927,16411564 k1,149:6050394,16411564:69467 x1,149:6305326,16411564 k1,149:6374793,16411564:69467 x1,149:7321777,16411564 k1,149:7391244,16411564:69467 k1,149:27902721,16411564:20511477 k1,149:27972188,16411564:69467 x1,149:28154376,16411564 x1,149:28871653,16411564 k1,149:28871653,16411564:0 k1,149:28871653,16411564:0 ) ] $1,149:20511477,16782118 k1,149:29834876,16782118:9323399 g1,149:29834876,16782118 ) ] ) g1,149:28655228,16782118 ) g1,149:28655228,16782118 g1,149:28655228,16782118 ) h1,149:1310720,16782118:0,0,0 ] g1,149:28655228,16782118 ) ) ] ] ] !10847 }2 !10 {3 [1,198:4736286,16782118:23918942,12045832,0 (1,198:4736286,4736286:0,0,0 [1,198:0,4736286:0,0,0 (1,198:0,0:0,0,0 (1,198:0,4736286:0,0,0 k1,198:0,4736286:4736286 ) g1,198:0,0 ) ] ) [1,198:4736286,4736286:0,0,0 (1,198:4736286,-65781:0,0,0 k1,198:4736286,-65781:3491347 ) ] [1,198:4736286,16782118:23918942,12045832,0 [1,198:1310720,16782118:27344508,16782118,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:-1310720,0,0 g1,198:0,0 (1,198:0,0:0,0,0 (1,198:0,16782118:29834876,16782118,0 (1,198:0,16782118:29834876,16782118,0 r1,198:29834876,16782118:29834876,16782118,0 ) ) ) (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 ) ) ) ) (1,198:1310720,0:-2490368,0,0 (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:-1310720,16782118,0 (1,198:1310720,16782118:-1310720,16782118,0 g1,198:0,16782118 (1,198:0,16782118:0,0,0 [1,198:0,16782118:27344508,16782118,0 (1,198:0,16782118:27344508,16782118,0 h1,198:0,16782118:0,0,0 r1,198:0,16782118:0,16782118,0 k1,198:27344508,16782118:27344508 g1,198:27344508,16782118 ) ] ) [1,198:0,16782118:0,16782118,0 ] ) ) ) (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:28524156,16782118,0 (1,198:1310720,16782118:28524156,16782118,0 g1,198:28655228,16782118 g1,198:29834876,16782118 (1,198:29834876,16782118:0,0,0 [1,198:29834876,16782118:27344508,16782118,0 (1,198:29834876,16782118:27344508,16782118,0 h1,198:29834876,16782118:0,0,0 r1,198:29834876,16782118:0,16782118,0 k1,198:57179384,16782118:27344508 g1,198:57179384,16782118 ) ] ) [1,198:29834876,16782118:0,16782118,0 (1,198:29834876,16192294:0,0,0 k1,198:29648397,16192294:-186479 g1,198:29834876,16192294 ) (1,198:29834876,16651046:0,0,0 k1,198:29648397,16651046:-186479 g1,198:29834876,16651046 ) ] ) ) ) (1,198:1310720,0:-2490368,0,0 g1,198:0,0 (1,198:0,0:0,0,0 [1,198:0,0:0,0,0 ] ) g1,198:-1179648,0 ) g1,198:-1179648,0 ) (1,198:1310720,0:27344508,0,0 h1,198:1310720,0:0,0,0 k1,198:28655228,0:27344508 g1,198:28655228,0 ) ] ) ) ] [1,198:1310720,16519974:27344508,16519974,0 [1,198:1310720,16519974:27344508,16519974,0 v1,198:1310720,0:0,0,0 v1,198:1310720,0:0,0,0 (1,198:1310720,1532292:27344508,655359,357028 h1,198:1310720,1532292:0,0,0 g1,198:2056580,1532292 $1,198:2056580,1532292 [1,198:2056580,1532292:25823721,579600,357028 (1,198:2056580,1532292:25823721,579600,168288 x1,198:4504713,1532292 g1,198:4723333,1532292 x1,198:5947007,1532292 x1,198:6963847,1532292 g1,198:7182467,1532292 x1,198:9104492,1532292 x1,198:9268065,1532292 g1,198:9486685,1532292 x1,198:11497568,1532292 g1,198:11716188,1532292 x1,198:12372059,1532292 g1,198:12590679,1532292 x1,198:14949955,1532292 g1,198:15168575,1532292 x1,198:17441337,1532292 g1,198:17659957,1532292 x1,198:21550383,1532292 g1,198:21769003,1532292 x1,198:23867177,1532292 x1,198:25388911,1532292 g1,198:25607531,1532292 x1,198:27487085,1532292 x1,198:27880301,1532292 ) ] $1,198:27880301,1532292 x1,198:28520360,1532292 k1,198:28587794,1532292:67434 k1,198:28655228,1532292:67434 ) v1,198:1310720,2053160:0,0,0 (1,198:2621442,3552684:26033786,478735,10808 (1,198:2621442,3552684:0,291271,0 g1,198:2621442,3552684 g1,198:1638400,3552684 g1,198:1310720,3552684 (1,198:1310720,3552684:983042,291271,0 k1,198:2293762,3552684:983042 (1,198:2293762,3552684:0,291271,0 k1,198:2075309,3552684:-218453 x1,198:2293762,3552684 ) ) g1,198:2621442,3552684 ) x1,198:3641170,3552684 x1,198:4478713,3552684 k1,198:16566971,3552684:12088258 k1,198:28655229,3552684:12088258 ) (1,198:9359409,4728486:12557852,912979,682671 x1,198:9774049,4728486 (1,198:9774049,4816959:241290,262145,0 x1,198:9950065,4816959 ) g1,198:10179179,4728486 x1,198:10671675,4728486 g1,198:10835515,4728486 x1,198:11624285,4728486 (1,198:11624285,4816959:241290,262145,0 x1,198:11800301,4816959 ) g1,198:11980263,4728486 x1,198:12472759,4728486 g1,198:12587447,4728486 [1,198:12587447,4728486:848830,912979,682671 (1,198:12587447,4091478:848830,216989,0 k1,198:12810473,4091478:223026 x1,198:13213252,4091478 k1,198:13436277,4091478:223025 ) (1,198:12587447,4728486:848830,519044,224133 k1,198:12687754,4728486:100307 (1,198:12687754,4851170:648216,641728,101449 x1,198:13335970,4851170 ) k1,198:13436277,4728486:100307 ) (1,198:12587447,5352175:848830,301056,0 x1,198:12774295,5352175 x1,198:13436277,5352175 ) ] g1,198:13534581,4728486 x1,198:13895553,4728486 (1,198:13883756,4484889:254830,203889,0 x1,198:14070604,4484889 ) (1,198:14138586,4728486:293142,701120,406208 $1,198:14138586,4728486 (1,198:14138586,4728486:293142,701120,406208 (1,198:14138586,4073486:293142,23002,1038090 x1,198:14431728,4073486 ) v1,198:14431728,4728486:0,701120,406208 h1,198:14431728,4728486:0,0,0 ) $1,198:14431728,4728486 ) x1,198:14819480,4728486 (1,198:14819480,4816959:846405,278462,39452 x1,198:14995496,4816959 x1,198:15597903,4816959 ) x1,198:15945320,4728486 (1,198:15945320,4816959:846405,278462,39452 x1,198:16121336,4816959 x1,198:16723743,4816959 ) g1,198:16906413,4728486 x1,198:17398909,4728486 g1,198:17513597,4728486 (1,198:17513597,3991206:545586,0,1179648 x1,198:17823254,3991206 ) g1,198:18157487,4728486 x1,198:18730923,4728486 (1,198:18730923,4839956:976227,314369,89201 x1,198:19036761,4839956 x1,198:19639168,4839956 ) x1,198:20020263,4728486 (1,198:20020263,4839956:976227,314369,89201 x1,198:20326101,4839956 x1,198:20928508,4839956 ) g1,198:21094794,4728486 x1,198:21569469,4728486 (1,198:21624119,4728486:293142,701120,406208 $1,198:21624119,4728486 (1,198:21624119,4728486:293142,701120,406208 (1,198:21624119,4073486:293142,23002,1038090 x1,198:21917261,4073486 ) v1,198:21917261,4728486:0,701120,406208 h1,198:21917261,4728486:0,0,0 ) $1,198:21917261,4728486 ) ) (1,198:2621442,6081256:26033786,473491,10808 (1,198:2621442,6081256:0,291271,0 g1,198:2621442,6081256 g1,198:1638400,6081256 g1,198:1310720,6081256 (1,198:1310720,6081256:983042,291271,0 k1,198:2293762,6081256:983042 (1,198:2293762,6081256:0,291271,0 k1,198:2075309,6081256:-218453 x1,198:2293762,6081256 ) ) g1,198:2621442,6081256 ) x1,198:5754025,6081256 k1,198:17204627,6081256:11450602 k1,198:28655229,6081256:11450602 ) (1,198:8400421,7324646:14475828,1167046,560037 x1,198:8679856,7324646 (1,198:8679856,7413119:241290,262145,0 x1,198:8855872,7413119 ) g1,198:9084986,7324646 x1,198:9577482,7324646 g1,198:9741322,7324646 x1,198:10037596,7324646 [1,198:10037596,7477014:962210,697021,0 (1,198:10086580,7081049:913226,301056,39452 x1,198:10967038,7081049 ) (1,198:10037596,7477014:241290,262145,0 x1,198:10213612,7477014 ) ] [1,198:10999806,7324646:330958,388295,0 (1,198:10999806,7324646:330958,270335,0 x1,198:11330764,7324646 ) ] [1,198:11330764,7470332:286458,611089,0 (1,198:11330764,7081049:286458,221806,4357 x1,198:11584454,7081049 ) (1,198:11330764,7470332:241290,262145,0 x1,198:11506780,7470332 ) ] g1,198:12207046,7324646 x1,198:12375436,7324646 g1,198:12965260,7324646 g1,198:13063564,7324646 [1,198:13063564,7324646:330958,388295,0 (1,198:13063564,7324646:330958,270335,0 x1,198:13394522,7324646 ) ] (1,198:13394522,7413119:241290,262145,0 x1,198:13570538,7413119 ) g1,198:13799652,7324646 x1,198:14292148,7324646 g1,198:14455988,7324646 (1,198:14455988,7324646:4499484,1167046,560037 (1,198:14455988,7324646:3660548,899627,560037 (1,198:14455988,6492699:325576,23002,1391984 x1,198:14781564,6492699 ) (1,198:14781564,6587366:545586,0,1179648 x1,198:15091221,6587366 ) g1,198:15425454,7324646 (1,198:15425454,7324646:575179,409600,200671 x1,198:15795912,7324646 (1,198:15795912,7436116:204721,314369,89201 x1,198:15925734,7436116 ) ) x1,198:16313746,7324646 [1,198:16313746,7530484:849585,1105465,89201 (1,198:16324395,6872875:838936,447856,248872 h1,198:16324395,6872875:78643,0,0 [1,198:16403038,6872875:648882,447856,248872 (1,198:16403038,6640059:648882,215040,90603 x1,198:16596038,6640059 x1,198:17051920,6640059 ) (1,198:16403038,7031144:648882,211681,90603 k1,198:16626884,7031144:223846 x1,198:16819884,7031144 k1,198:17051920,7031144:223845 ) ] h1,198:17051920,6872875:78643,0,0 ) (1,198:16313746,7530484:371112,314369,89201 x1,198:16619584,7530484 ) ] g1,198:17261635,7324646 x1,198:17736310,7324646 (1,198:17790960,6492699:325576,23002,1391984 x1,198:18116536,6492699 ) ) (1,198:18116536,6602097:838936,444497,248872 h1,198:18116536,6602097:78643,0,0 [1,198:18195179,6602097:648882,444497,248872 (1,198:18195179,6369281:648882,211681,90603 k1,198:18419025,6369281:223846 x1,198:18612025,6369281 k1,198:18844061,6369281:223845 ) (1,198:18195179,6760366:648882,215040,90603 x1,198:18388179,6760366 x1,198:18844061,6760366 ) ] h1,198:18844061,6602097:78643,0,0 ) ) h1,198:18955472,7324646:3920777,692843,493092 ) (1,198:2621442,8242150:26033786,0,0 g1,198:2785407,8242150 k1,198:15720317,8242150:12934910 k1,198:28655227,8242150:12934910 ) (1,198:2621442,9401512:26033786,473491,140240 (1,198:2621442,9401512:0,291271,0 g1,198:2621442,9401512 g1,198:1638400,9401512 g1,198:1310720,9401512 (1,198:1310720,9401512:983042,291271,0 k1,198:2293762,9401512:983042 (1,198:2293762,9401512:0,291271,0 k1,198:2075309,9401512:-218453 x1,198:2293762,9401512 ) ) g1,198:2621442,9401512 ) x1,198:4333216,9401512 x1,198:5170760,9401512 x1,198:5478783,9401512 g1,198:5660966,9401512 k1,198:17158097,9401512:11497131 k1,198:28655228,9401512:11497131 ) (1,198:7608969,10528716:16058733,734949,611030 x1,198:7947572,10528716 (1,198:7947572,10644587:1057095,341315,96847 x1,198:8279625,10644587 x1,198:8933667,10644587 ) g1,198:9186707,10528716 x1,198:9733925,10528716 g1,198:9915965,10528716 (1,198:9915965,10528716:702308,291271,212718 x1,198:10218160,10528716 (1,198:10218160,10644587:400113,341315,96847 x1,198:10550213,10644587 ) ) x1,198:10938673,10528716 (1,198:10938673,10627019:916146,302331,42834 x1,198:11129777,10627019 x1,198:11783819,10627019 ) g1,198:12510179,10528716 x1,198:12692224,10528716 g1,198:13347584,10528716 g1,198:13456808,10528716 x1,198:13777208,10528716 (1,198:13777208,10627019:916146,302331,42834 x1,198:13968312,10627019 x1,198:14622354,10627019 ) g1,198:14875394,10528716 x1,198:15422612,10528716 g1,198:15604652,10528716 x1,198:16213482,10528716 g1,198:16340910,10528716 x1,198:16888128,10528716 g1,198:17015556,10528716 x1,198:17309157,10528716 x1,198:17613243,10528716 (1,198:17613243,10258053:273864,221366,0 x1,198:17816107,10258053 ) x1,198:18207507,10528716 (1,198:18207507,10627019:259164,284615,0 x1,198:18398611,10627019 ) g1,198:19122031,10528716 x1,198:19304076,10528716 g1,198:19959436,10528716 g1,198:20068660,10528716 (1,198:20068660,10528716:3599042,734949,611030 (1,198:20068660,10528716:1690567,734949,611030 (1,198:20068660,10528716:1690567,734949,611030 h1,198:20068660,10528716:78643,0,0 [1,198:20147303,10528716:1533281,734949,611030 (1,198:20147303,10085038:1533281,291271,161454 x1,198:20485906,10085038 (1,198:20485906,10203658:1194678,344064,42834 x1,198:20955542,10203658 x1,198:21609584,10203658 ) ) (1,198:20147303,10978292:1533281,291271,161454 x1,198:20485906,10978292 (1,198:20485906,11096912:1194678,344064,42834 x1,198:20955542,11096912 x1,198:21609584,11096912 ) ) ] h1,198:21680584,10528716:78643,0,0 ) ) g1,198:21941267,10528716 x1,198:22488485,10528716 g1,198:22670525,10528716 (1,198:22670525,10528716:997177,734949,568196 (1,198:22670525,10528716:997177,734949,568196 h1,198:22670525,10528716:78643,0,0 [1,198:22749168,10528716:839891,734949,568196 (1,198:22749168,10085038:839891,291271,118620 x1,198:23051363,10085038 (1,198:23051363,10203658:537696,344064,0 x1,198:23520999,10203658 ) ) (1,198:22749168,10978292:839891,291271,118620 x1,198:23051363,10978292 (1,198:23051363,11096912:537696,344064,0 x1,198:23520999,11096912 ) ) ] h1,198:23589059,10528716:78643,0,0 ) ) ) h1,198:23667702,10528716:0,0,0 h1,198:23667702,10528716:0,0,0 h1,198:23667702,10528716:0,0,0 h1,198:23667702,10528716:0,0,0 h1,198:23667702,10528716:0,0,0 h1,198:23667702,10528716:0,0,0 ) (1,198:2621442,12071165:26033786,0,0 k1,198:15638335,12071165:13016893 k1,198:28655228,12071165:13016893 ) (1,198:2621442,12857597:26033786,512491,215756 (1,198:2621442,12857597:0,291271,0 g1,198:2621442,12857597 g1,198:1638400,12857597 g1,198:1310720,12857597 (1,198:1310720,12857597:983042,291271,0 k1,198:2293762,12857597:983042 (1,198:2293762,12857597:0,291271,0 k1,198:2075309,12857597:-218453 x1,198:2293762,12857597 ) ) g1,198:2621442,12857597 ) x1,198:4806386,12857597 x1,198:5871985,12857597 x1,198:6054168,12857597 g1,198:6279599,12857597 x1,198:7262630,12857597 x1,198:7974998,12857597 g1,198:8157181,12857597 $1,198:8157181,12857597 x1,198:9133954,12857597 (1,198:9133954,12973468:505347,341315,96847 x1,198:9274903,12973468 x1,198:9551746,12973468 ) x1,198:9997121,12857597 [1,198:9997121,13068622:1013074,684508,4731 (1,198:9997121,12619702:470071,235588,0 x1,198:10434424,12619702 ) (1,198:9997121,13068622:1013074,344064,4731 x1,198:10228222,13068622 x1,198:10977427,13068622 ) ] $1,198:11010195,12857597 x1,198:11192378,12857597 g1,198:11417809,12857597 x1,198:11999761,12857597 g1,198:12181944,12857597 $1,198:12181944,12857597 x1,198:12555137,12857597 x1,198:13438053,12857597 x1,198:13797733,12857597 (1,198:13797733,12857597:702308,291271,212718 x1,198:14099928,12857597 (1,198:14099928,12973468:400113,341315,96847 x1,198:14431981,12973468 ) ) x1,198:14781190,12857597 $1,198:14781190,12857597 g1,198:14963373,12857597 x1,198:15346101,12857597 g1,198:15528284,12857597 $1,198:15528284,12857597 x1,198:16270144,12857597 (1,198:16270144,12955900:259164,284615,0 x1,198:16461248,12955900 ) g1,198:16711348,12857597 x1,198:17258566,12857597 g1,198:17440606,12857597 x1,198:18049436,12857597 $1,198:18049436,12857597 g1,198:18231619,12857597 x1,198:19725162,12857597 x1,198:21161697,12857597 g1,198:21343880,12857597 $1,198:21343880,12857597 x1,198:21646075,12857597 (1,198:21646075,12973468:400113,341315,96847 x1,198:21978128,12973468 ) $1,198:22046188,12857597 g1,198:22228371,12857597 x1,198:23321499,12857597 g1,198:23503682,12857597 $1,198:23503682,12857597 x1,198:23922385,12857597 (1,198:23922385,12955900:259164,284615,0 x1,198:24113489,12955900 ) $1,198:24181549,12857597 x1,198:24363732,12857597 g1,198:24545915,12857597 x1,198:25273355,12857597 g1,198:25455538,12857597 $1,198:25455538,12857597 x1,198:25775938,12857597 (1,198:25775938,12955900:259164,284615,0 x1,198:25967042,12955900 ) $1,198:26035102,12857597 g1,198:26217285,12857597 x1,198:27527988,12857597 g1,198:27710171,12857597 k1,198:28182700,12857597:472529 k1,198:28655228,12857597:472528 ) (1,198:9720574,14245592:11835522,779023,1516138 [1,198:9720574,14245592:3172865,779023,1410544 [1,198:9720574,14245592:3172865,779023,867495 (1,198:9720574,14245592:3172865,779023,451343 g1,198:9720574,14245592 (1,198:9720574,14245592:3172865,779023,451343 $1,198:9720574,14245592 g1,198:9720574,14245592 (1,198:9720574,14245592:3172865,779023,451343 (1,198:9720574,14245592:0,779023,451343 $1,198:9720574,14245592 (1,198:9720574,14245592:0,779023,451343 h1,198:9720574,14245592:0,0,0 v1,198:9720574,14245592:0,779023,451343 h1,198:9720574,14245592:0,0,0 ) $1,198:9720574,14245592 ) x1,198:10157482,14245592 (1,198:10157482,14343895:259164,284615,0 x1,198:10348586,14343895 ) g1,198:10598686,14245592 x1,198:11145904,14245592 g1,198:11327944,14245592 x1,198:11635307,14245592 x1,198:12073670,14245592 [1,198:12073670,14409619:819769,804366,0 (1,198:12128016,13907584:765423,302331,112564 x1,198:12853200,13907584 ) (1,198:12073670,14409619:259164,284615,0 x1,198:12264774,14409619 ) ] ) g1,198:12893439,14245592 $1,198:12893439,14245592 ) g1,198:12893439,14245592 ) (1,198:9720574,14972185:3172865,77988,0 g1,198:9720574,14972185 (1,198:9720574,14972185:3172865,77988,0 $1,198:9720574,14972185 x1,198:10031863,14972185 g1,198:10995718,14972185 x1,198:11618296,14972185 g1,198:12582150,14972185 x1,198:12893439,14972185 $1,198:12893439,14972185 ) g1,198:12893439,14972185 ) ] (1,198:9720574,15582386:3172865,359854,8214 k1,198:10365904,15582386:645330 x1,198:12248109,15582386 k1,198:12893439,15582386:645330 ) ] g1,198:13548799,14245592 x1,198:13730844,14245592 g1,198:14386204,14245592 g1,198:14495428,14245592 [1,198:14495428,14245592:7060668,779023,1516138 [1,198:14495428,14245592:7060668,779023,867495 (1,198:14495428,14245592:7060668,779023,451343 g1,198:14495428,14245592 (1,198:14495428,14245592:7060668,779023,451343 $1,198:14495428,14245592 g1,198:14495428,14245592 (1,198:14495428,14245592:7060668,779023,451343 (1,198:14495428,14245592:0,779023,451343 $1,198:14495428,14245592 (1,198:14495428,14245592:0,779023,451343 h1,198:14495428,14245592:0,0,0 v1,198:14495428,14245592:0,779023,451343 h1,198:14495428,14245592:0,0,0 ) $1,198:14495428,14245592 ) x1,198:14932336,14245592 (1,198:14932336,14343895:259164,284615,0 x1,198:15123440,14343895 ) g1,198:15373540,14245592 x1,198:15920758,14245592 g1,198:16102798,14245592 x1,198:16711628,14245592 g1,198:16839056,14245592 x1,198:17386274,14245592 g1,198:17513702,14245592 x1,198:17807303,14245592 x1,198:18548297,14245592 (1,198:18548297,14364212:953582,344064,42834 x1,198:18739401,14364212 x1,198:19469111,14364212 ) g1,198:19629307,14245592 x1,198:20176525,14245592 g1,198:20303953,14245592 x1,198:20624353,14245592 (1,198:20624353,14343895:259164,284615,0 x1,198:20815457,14343895 ) (1,198:20883517,14245592:364376,348648,0 (1,198:20883517,14245592:364376,348648,0 x1,198:21247893,14245592 ) ) [1,198:21247893,14407465:308203,673354,0 (1,198:21247893,13974929:308203,240818,4731 x1,198:21523328,13974929 ) (1,198:21247893,14407465:259164,284615,0 x1,198:21438997,14407465 ) ] ) g1,198:21556096,14245592 $1,198:21556096,14245592 ) g1,198:21556096,14245592 ) (1,198:14495428,14972185:7060668,77988,0 g1,198:14495428,14972185 (1,198:14495428,14972185:7060668,77988,0 $1,198:14495428,14972185 x1,198:14806717,14972185 g1,198:17714473,14972185 x1,198:18337051,14972185 g1,198:21244807,14972185 x1,198:21556096,14972185 $1,198:21556096,14972185 ) g1,198:21556096,14972185 ) ] (1,198:14495428,15589612:7060668,367080,106582 k1,198:17264464,15589612:2769036 x1,198:18787061,15589612 k1,198:21556096,15589612:2769035 ) ] ) ] ] (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 [1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 g1,198:0,16782118 (1,198:0,16782118:29834876,0,0 [1,198:0,16782118:29834876,0,0 (1,198:0,16782118:29834876,0,0 h1,198:0,16782118:0,0,0 $1,198:0,16782118 [1,198:0,16782118:20511477,-133806,-297646 (1,198:0,16411564:20511477,241500,72908 h1,198:0,16411564:0,0,0 k1,198:1305270,16411564:1305270 k1,198:1382640,16411564:77370 k1,198:1452107,16411564:69467 x1,198:2089439,16411564 x1,198:2453815,16411564 x1,198:2611103,16411564 k1,198:2680570,16411564:69467 x1,198:2789686,16411564 k1,198:2859153,16411564:69467 x1,198:3332321,16411564 x1,198:3842189,16411564 x1,198:4443149,16411564 k1,198:4512616,16411564:69467 x1,198:4785895,16411564 k1,198:4855362,16411564:69467 x1,198:5638181,16411564 k1,198:5707648,16411564:69467 x1,198:5980927,16411564 k1,198:6050394,16411564:69467 x1,198:6305326,16411564 k1,198:6374793,16411564:69467 x1,198:7321777,16411564 k1,198:7391244,16411564:69467 k1,198:27902721,16411564:20511477 k1,198:27972188,16411564:69467 x1,198:28154376,16411564 x1,198:28871653,16411564 k1,198:28871653,16411564:0 k1,198:28871653,16411564:0 ) ] $1,198:20511477,16782118 k1,198:29834876,16782118:9323399 g1,198:29834876,16782118 ) ] ) g1,198:28655228,16782118 ) g1,198:28655228,16782118 g1,198:28655228,16782118 ) h1,198:1310720,16782118:0,0,0 ] g1,198:28655228,16782118 ) ) ] ] ] !19458 }3 !10 {4 [1,198:4736286,16782118:23918942,12045832,0 (1,198:4736286,4736286:0,0,0 [1,198:0,4736286:0,0,0 (1,198:0,0:0,0,0 (1,198:0,4736286:0,0,0 k1,198:0,4736286:4736286 ) g1,198:0,0 ) ] ) [1,198:4736286,4736286:0,0,0 (1,198:4736286,-65781:0,0,0 k1,198:4736286,-65781:3491347 ) ] [1,198:4736286,16782118:23918942,12045832,0 [1,198:1310720,16782118:27344508,16782118,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:-1310720,0,0 g1,198:0,0 (1,198:0,0:0,0,0 (1,198:0,16782118:29834876,16782118,0 (1,198:0,16782118:29834876,16782118,0 r1,198:29834876,16782118:29834876,16782118,0 ) ) ) (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 ) ) ) ) (1,198:1310720,0:-2490368,0,0 (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:-1310720,16782118,0 (1,198:1310720,16782118:-1310720,16782118,0 g1,198:0,16782118 (1,198:0,16782118:0,0,0 [1,198:0,16782118:27344508,16782118,0 (1,198:0,16782118:27344508,16782118,0 h1,198:0,16782118:0,0,0 r1,198:0,16782118:0,16782118,0 k1,198:27344508,16782118:27344508 g1,198:27344508,16782118 ) ] ) [1,198:0,16782118:0,16782118,0 ] ) ) ) (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:28524156,16782118,0 (1,198:1310720,16782118:28524156,16782118,0 g1,198:28655228,16782118 g1,198:29834876,16782118 (1,198:29834876,16782118:0,0,0 [1,198:29834876,16782118:27344508,16782118,0 (1,198:29834876,16782118:27344508,16782118,0 h1,198:29834876,16782118:0,0,0 r1,198:29834876,16782118:0,16782118,0 k1,198:57179384,16782118:27344508 g1,198:57179384,16782118 ) ] ) [1,198:29834876,16782118:0,16782118,0 (1,198:29834876,16192294:0,0,0 k1,198:29648397,16192294:-186479 g1,198:29834876,16192294 ) (1,198:29834876,16651046:0,0,0 k1,198:29648397,16651046:-186479 g1,198:29834876,16651046 ) ] ) ) ) (1,198:1310720,0:-2490368,0,0 g1,198:0,0 (1,198:0,0:0,0,0 [1,198:0,0:0,0,0 ] ) g1,198:-1179648,0 ) g1,198:-1179648,0 ) (1,198:1310720,0:27344508,0,0 h1,198:1310720,0:0,0,0 k1,198:28655228,0:27344508 g1,198:28655228,0 ) ] ) ) ] [1,198:1310720,16519974:27344508,16519974,0 [1,198:1310720,16519974:27344508,16519974,0 v1,198:1310720,0:0,0,0 v1,198:1310720,0:0,0,0 (1,198:1310720,1532292:27344508,655359,357028 h1,198:1310720,1532292:0,0,0 g1,198:2056580,1532292 $1,198:2056580,1532292 [1,198:2056580,1532292:25823721,579600,357028 (1,198:2056580,1532292:25823721,579600,168288 x1,198:4504713,1532292 g1,198:4723333,1532292 x1,198:5947007,1532292 x1,198:6963847,1532292 g1,198:7182467,1532292 x1,198:9104492,1532292 x1,198:9268065,1532292 g1,198:9486685,1532292 x1,198:11497568,1532292 g1,198:11716188,1532292 x1,198:12372059,1532292 g1,198:12590679,1532292 x1,198:14949955,1532292 g1,198:15168575,1532292 x1,198:17441337,1532292 g1,198:17659957,1532292 x1,198:21550383,1532292 g1,198:21769003,1532292 x1,198:23867177,1532292 x1,198:25388911,1532292 g1,198:25607531,1532292 x1,198:27487085,1532292 x1,198:27880301,1532292 ) ] $1,198:27880301,1532292 x1,198:28520360,1532292 k1,198:28587794,1532292:67434 k1,198:28655228,1532292:67434 ) v1,198:1310720,2053160:0,0,0 (1,198:2621442,3552684:26033786,478735,10808 (1,198:2621442,3552684:0,291271,0 g1,198:2621442,3552684 g1,198:1638400,3552684 g1,198:1310720,3552684 (1,198:1310720,3552684:983042,291271,0 k1,198:2293762,3552684:983042 (1,198:2293762,3552684:0,291271,0 k1,198:2075309,3552684:-218453 x1,198:2293762,3552684 ) ) g1,198:2621442,3552684 ) x1,198:3641170,3552684 x1,198:4478713,3552684 k1,198:16566971,3552684:12088258 k1,198:28655229,3552684:12088258 ) (1,198:9359409,4728486:12557852,912979,682671 x1,198:9774049,4728486 (1,198:9774049,4816959:241290,262145,0 x1,198:9950065,4816959 ) g1,198:10179179,4728486 x1,198:10671675,4728486 g1,198:10835515,4728486 x1,198:11624285,4728486 (1,198:11624285,4816959:241290,262145,0 x1,198:11800301,4816959 ) g1,198:11980263,4728486 x1,198:12472759,4728486 g1,198:12587447,4728486 [1,198:12587447,4728486:848830,912979,682671 (1,198:12587447,4091478:848830,216989,0 k1,198:12810473,4091478:223026 x1,198:13213252,4091478 k1,198:13436277,4091478:223025 ) (1,198:12587447,4728486:848830,519044,224133 k1,198:12687754,4728486:100307 (1,198:12687754,4851170:648216,641728,101449 x1,198:13335970,4851170 ) k1,198:13436277,4728486:100307 ) (1,198:12587447,5352175:848830,301056,0 x1,198:12774295,5352175 x1,198:13436277,5352175 ) ] g1,198:13534581,4728486 x1,198:13895553,4728486 (1,198:13883756,4484889:254830,203889,0 x1,198:14070604,4484889 ) (1,198:14138586,4728486:293142,701120,406208 $1,198:14138586,4728486 (1,198:14138586,4728486:293142,701120,406208 (1,198:14138586,4073486:293142,23002,1038090 x1,198:14431728,4073486 ) v1,198:14431728,4728486:0,701120,406208 h1,198:14431728,4728486:0,0,0 ) $1,198:14431728,4728486 ) x1,198:14819480,4728486 (1,198:14819480,4816959:846405,278462,39452 x1,198:14995496,4816959 x1,198:15597903,4816959 ) x1,198:15945320,4728486 (1,198:15945320,4816959:846405,278462,39452 x1,198:16121336,4816959 x1,198:16723743,4816959 ) g1,198:16906413,4728486 x1,198:17398909,4728486 g1,198:17513597,4728486 (1,198:17513597,3991206:545586,0,1179648 x1,198:17823254,3991206 ) g1,198:18157487,4728486 x1,198:18730923,4728486 (1,198:18730923,4839956:976227,314369,89201 x1,198:19036761,4839956 x1,198:19639168,4839956 ) x1,198:20020263,4728486 (1,198:20020263,4839956:976227,314369,89201 x1,198:20326101,4839956 x1,198:20928508,4839956 ) g1,198:21094794,4728486 x1,198:21569469,4728486 (1,198:21624119,4728486:293142,701120,406208 $1,198:21624119,4728486 (1,198:21624119,4728486:293142,701120,406208 (1,198:21624119,4073486:293142,23002,1038090 x1,198:21917261,4073486 ) v1,198:21917261,4728486:0,701120,406208 h1,198:21917261,4728486:0,0,0 ) $1,198:21917261,4728486 ) ) (1,198:2621442,6081256:26033786,473491,10808 (1,198:2621442,6081256:0,291271,0 g1,198:2621442,6081256 g1,198:1638400,6081256 g1,198:1310720,6081256 (1,198:1310720,6081256:983042,291271,0 k1,198:2293762,6081256:983042 (1,198:2293762,6081256:0,291271,0 k1,198:2075309,6081256:-218453 x1,198:2293762,6081256 ) ) g1,198:2621442,6081256 ) x1,198:5754025,6081256 g1,198:5936208,6081256 k1,198:17295718,6081256:11359510 k1,198:28655228,6081256:11359510 ) (1,198:8317100,7279968:14642470,1122368,560037 x1,198:8596535,7279968 (1,198:8596535,7368441:241290,262145,0 x1,198:8772551,7368441 ) g1,198:9001665,7279968 x1,198:9494161,7279968 g1,198:9658001,7279968 x1,198:9954275,7279968 (1,198:9954275,7368441:241290,262145,0 x1,198:10130291,7368441 ) (1,198:10195565,7279968:536203,270335,88473 x1,198:10490478,7279968 (1,198:10490478,7368441:241290,262145,0 x1,198:10666494,7368441 ) ) (1,198:10731768,7036371:286458,221806,4357 x1,198:10985458,7036371 ) g1,198:11608050,7279968 x1,198:11776440,7279968 g1,198:12366264,7279968 g1,198:12464568,7279968 (1,198:12464568,7279968:536203,270335,88473 x1,198:12759481,7279968 (1,198:12759481,7368441:241290,262145,0 x1,198:12935497,7368441 ) ) g1,198:13164611,7279968 x1,198:13819308,7279968 g1,198:13983148,7279968 (1,198:13983148,7279968:4826269,1122368,560037 (1,198:13983148,7279968:3987333,854949,560037 (1,198:13983148,6448021:325576,23002,1391984 x1,198:14308724,6448021 ) (1,198:14308724,6542688:545586,0,1179648 x1,198:14618381,6542688 ) g1,198:14952614,7279968 (1,198:14952614,7279968:575179,409600,200671 x1,198:15323072,7279968 (1,198:15323072,7391438:204721,314369,89201 x1,198:15452894,7391438 ) ) (1,198:15527793,7279968:650547,262144,200671 x1,198:15807228,7279968 (1,198:15807228,7391438:371112,314369,89201 x1,198:16113066,7391438 ) ) (1,198:16178340,6967690:838936,447856,248872 h1,198:16178340,6967690:78643,0,0 [1,198:16256983,6967690:648882,447856,248872 (1,198:16256983,6734874:648882,215040,90603 x1,198:16449983,6734874 x1,198:16905865,6734874 ) (1,198:16256983,7125959:648882,211681,90603 k1,198:16480829,7125959:223846 x1,198:16673829,7125959 k1,198:16905865,7125959:223845 ) ] h1,198:16905865,6967690:78643,0,0 ) g1,198:17115580,7279968 x1,198:17590255,7279968 (1,198:17644905,6448021:325576,23002,1391984 x1,198:17970481,6448021 ) ) (1,198:17970481,6602097:838936,444497,248872 h1,198:17970481,6602097:78643,0,0 [1,198:18049124,6602097:648882,444497,248872 (1,198:18049124,6369281:648882,211681,90603 k1,198:18272970,6369281:223846 x1,198:18465970,6369281 k1,198:18698006,6369281:223845 ) (1,198:18049124,6760366:648882,215040,90603 x1,198:18242124,6760366 x1,198:18698006,6760366 ) ] h1,198:18698006,6602097:78643,0,0 ) ) g1,198:19399241,7279968 x1,198:19567631,7279968 g1,198:20157455,7279968 g1,198:20255759,7279968 (1,198:20255759,7279968:2474435,692843,493092 x1,198:20535194,7279968 (1,198:20535194,7391438:371112,314369,89201 x1,198:20841032,7391438 ) g1,198:21070146,7279968 x1,198:21724843,7279968 g1,198:21888683,7279968 (1,198:21888683,7279968:841511,692843,493092 (1,198:21888683,7279968:841511,692843,493092 h1,198:21888683,7279968:78643,0,0 [1,198:21967326,7279968:684225,692843,493092 (1,198:21967326,6849269:684225,262144,200671 x1,198:22280439,6849269 (1,198:22280439,6960739:371112,314369,89201 x1,198:22586277,6960739 ) ) (1,198:21967326,7684587:684225,409600,88473 k1,198:22040657,7684587:73331 x1,198:22336931,7684587 (1,198:22336931,7773060:241290,262145,0 x1,198:22512947,7773060 ) k1,198:22651551,7684587:73330 ) ] h1,198:22651551,7279968:78643,0,0 ) ) ) g1,198:22844882,7279968 g1,198:22959570,7279968 ) (1,198:2621442,8197472:26033786,0,0 k1,198:15638335,8197472:13016893 k1,198:28655228,8197472:13016893 ) (1,198:2621442,9356834:26033786,473491,140240 (1,198:2621442,9356834:0,291271,0 g1,198:2621442,9356834 g1,198:1638400,9356834 g1,198:1310720,9356834 (1,198:1310720,9356834:983042,291271,0 k1,198:2293762,9356834:983042 (1,198:2293762,9356834:0,291271,0 k1,198:2075309,9356834:-218453 x1,198:2293762,9356834 ) ) g1,198:2621442,9356834 ) x1,198:4333216,9356834 x1,198:5170760,9356834 x1,198:5478783,9356834 g1,198:5660966,9356834 k1,198:17158097,9356834:11497131 k1,198:28655228,9356834:11497131 ) (1,198:7608969,10484038:16058733,734949,611030 x1,198:7947572,10484038 (1,198:7947572,10599909:1057095,341315,96847 x1,198:8279625,10599909 x1,198:8933667,10599909 ) g1,198:9186707,10484038 x1,198:9733925,10484038 g1,198:9915965,10484038 (1,198:9915965,10484038:702308,291271,212718 x1,198:10218160,10484038 (1,198:10218160,10599909:400113,341315,96847 x1,198:10550213,10599909 ) ) x1,198:10938673,10484038 (1,198:10938673,10582341:916146,302331,42834 x1,198:11129777,10582341 x1,198:11783819,10582341 ) g1,198:12510179,10484038 x1,198:12692224,10484038 g1,198:13347584,10484038 g1,198:13456808,10484038 x1,198:13777208,10484038 (1,198:13777208,10582341:916146,302331,42834 x1,198:13968312,10582341 x1,198:14622354,10582341 ) g1,198:14875394,10484038 x1,198:15422612,10484038 g1,198:15604652,10484038 x1,198:16213482,10484038 g1,198:16340910,10484038 x1,198:16888128,10484038 g1,198:17015556,10484038 x1,198:17309157,10484038 x1,198:17613243,10484038 (1,198:17613243,10213375:273864,221366,0 x1,198:17816107,10213375 ) x1,198:18207507,10484038 (1,198:18207507,10582341:259164,284615,0 x1,198:18398611,10582341 ) g1,198:19122031,10484038 x1,198:19304076,10484038 g1,198:19959436,10484038 g1,198:20068660,10484038 (1,198:20068660,10484038:3599042,734949,611030 (1,198:20068660,10484038:1690567,734949,611030 (1,198:20068660,10484038:1690567,734949,611030 h1,198:20068660,10484038:78643,0,0 [1,198:20147303,10484038:1533281,734949,611030 (1,198:20147303,10040360:1533281,291271,161454 x1,198:20485906,10040360 (1,198:20485906,10158980:1194678,344064,42834 x1,198:20955542,10158980 x1,198:21609584,10158980 ) ) (1,198:20147303,10933614:1533281,291271,161454 x1,198:20485906,10933614 (1,198:20485906,11052234:1194678,344064,42834 x1,198:20955542,11052234 x1,198:21609584,11052234 ) ) ] h1,198:21680584,10484038:78643,0,0 ) ) g1,198:21941267,10484038 x1,198:22488485,10484038 g1,198:22670525,10484038 (1,198:22670525,10484038:997177,734949,568196 (1,198:22670525,10484038:997177,734949,568196 h1,198:22670525,10484038:78643,0,0 [1,198:22749168,10484038:839891,734949,568196 (1,198:22749168,10040360:839891,291271,118620 x1,198:23051363,10040360 (1,198:23051363,10158980:537696,344064,0 x1,198:23520999,10158980 ) ) (1,198:22749168,10933614:839891,291271,118620 x1,198:23051363,10933614 (1,198:23051363,11052234:537696,344064,0 x1,198:23520999,11052234 ) ) ] h1,198:23589059,10484038:78643,0,0 ) ) ) h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 ) (1,198:2621442,12026487:26033786,0,0 k1,198:15638335,12026487:13016893 k1,198:28655228,12026487:13016893 ) (1,198:2621442,12812919:26033786,512491,215756 (1,198:2621442,12812919:0,291271,0 g1,198:2621442,12812919 g1,198:1638400,12812919 g1,198:1310720,12812919 (1,198:1310720,12812919:983042,291271,0 k1,198:2293762,12812919:983042 (1,198:2293762,12812919:0,291271,0 k1,198:2075309,12812919:-218453 x1,198:2293762,12812919 ) ) g1,198:2621442,12812919 ) x1,198:4806386,12812919 x1,198:5871985,12812919 x1,198:6054168,12812919 g1,198:6279599,12812919 x1,198:7262630,12812919 x1,198:7974998,12812919 g1,198:8157181,12812919 $1,198:8157181,12812919 x1,198:9133954,12812919 (1,198:9133954,12928790:505347,341315,96847 x1,198:9274903,12928790 x1,198:9551746,12928790 ) x1,198:9997121,12812919 [1,198:9997121,13023944:1013074,684508,4731 (1,198:9997121,12575024:470071,235588,0 x1,198:10434424,12575024 ) (1,198:9997121,13023944:1013074,344064,4731 x1,198:10228222,13023944 x1,198:10977427,13023944 ) ] $1,198:11010195,12812919 x1,198:11192378,12812919 g1,198:11417809,12812919 x1,198:11999761,12812919 g1,198:12181944,12812919 $1,198:12181944,12812919 x1,198:12555137,12812919 x1,198:13438053,12812919 x1,198:13797733,12812919 (1,198:13797733,12812919:702308,291271,212718 x1,198:14099928,12812919 (1,198:14099928,12928790:400113,341315,96847 x1,198:14431981,12928790 ) ) x1,198:14781190,12812919 $1,198:14781190,12812919 g1,198:14963373,12812919 x1,198:15346101,12812919 g1,198:15528284,12812919 $1,198:15528284,12812919 x1,198:16270144,12812919 (1,198:16270144,12911222:259164,284615,0 x1,198:16461248,12911222 ) g1,198:16711348,12812919 x1,198:17258566,12812919 g1,198:17440606,12812919 x1,198:18049436,12812919 $1,198:18049436,12812919 g1,198:18231619,12812919 x1,198:19725162,12812919 x1,198:21161697,12812919 g1,198:21343880,12812919 $1,198:21343880,12812919 x1,198:21646075,12812919 (1,198:21646075,12928790:400113,341315,96847 x1,198:21978128,12928790 ) $1,198:22046188,12812919 g1,198:22228371,12812919 x1,198:23321499,12812919 g1,198:23503682,12812919 $1,198:23503682,12812919 x1,198:23922385,12812919 (1,198:23922385,12911222:259164,284615,0 x1,198:24113489,12911222 ) $1,198:24181549,12812919 x1,198:24363732,12812919 g1,198:24545915,12812919 x1,198:25273355,12812919 g1,198:25455538,12812919 $1,198:25455538,12812919 x1,198:25775938,12812919 (1,198:25775938,12911222:259164,284615,0 x1,198:25967042,12911222 ) $1,198:26035102,12812919 g1,198:26217285,12812919 x1,198:27527988,12812919 g1,198:27710171,12812919 k1,198:28182700,12812919:472529 k1,198:28655228,12812919:472528 ) (1,198:9720574,14200914:11835522,779023,1516138 [1,198:9720574,14200914:3172865,779023,1410544 [1,198:9720574,14200914:3172865,779023,867495 (1,198:9720574,14200914:3172865,779023,451343 g1,198:9720574,14200914 (1,198:9720574,14200914:3172865,779023,451343 $1,198:9720574,14200914 g1,198:9720574,14200914 (1,198:9720574,14200914:3172865,779023,451343 (1,198:9720574,14200914:0,779023,451343 $1,198:9720574,14200914 (1,198:9720574,14200914:0,779023,451343 h1,198:9720574,14200914:0,0,0 v1,198:9720574,14200914:0,779023,451343 h1,198:9720574,14200914:0,0,0 ) $1,198:9720574,14200914 ) x1,198:10157482,14200914 (1,198:10157482,14299217:259164,284615,0 x1,198:10348586,14299217 ) g1,198:10598686,14200914 x1,198:11145904,14200914 g1,198:11327944,14200914 x1,198:11635307,14200914 x1,198:12073670,14200914 [1,198:12073670,14364941:819769,804366,0 (1,198:12128016,13862906:765423,302331,112564 x1,198:12853200,13862906 ) (1,198:12073670,14364941:259164,284615,0 x1,198:12264774,14364941 ) ] ) g1,198:12893439,14200914 $1,198:12893439,14200914 ) g1,198:12893439,14200914 ) (1,198:9720574,14927507:3172865,77988,0 g1,198:9720574,14927507 (1,198:9720574,14927507:3172865,77988,0 $1,198:9720574,14927507 x1,198:10031863,14927507 g1,198:10995718,14927507 x1,198:11618296,14927507 g1,198:12582150,14927507 x1,198:12893439,14927507 $1,198:12893439,14927507 ) g1,198:12893439,14927507 ) ] (1,198:9720574,15537708:3172865,359854,8214 k1,198:10365904,15537708:645330 x1,198:12248109,15537708 k1,198:12893439,15537708:645330 ) ] g1,198:13548799,14200914 x1,198:13730844,14200914 g1,198:14386204,14200914 g1,198:14495428,14200914 [1,198:14495428,14200914:7060668,779023,1516138 [1,198:14495428,14200914:7060668,779023,867495 (1,198:14495428,14200914:7060668,779023,451343 g1,198:14495428,14200914 (1,198:14495428,14200914:7060668,779023,451343 $1,198:14495428,14200914 g1,198:14495428,14200914 (1,198:14495428,14200914:7060668,779023,451343 (1,198:14495428,14200914:0,779023,451343 $1,198:14495428,14200914 (1,198:14495428,14200914:0,779023,451343 h1,198:14495428,14200914:0,0,0 v1,198:14495428,14200914:0,779023,451343 h1,198:14495428,14200914:0,0,0 ) $1,198:14495428,14200914 ) x1,198:14932336,14200914 (1,198:14932336,14299217:259164,284615,0 x1,198:15123440,14299217 ) g1,198:15373540,14200914 x1,198:15920758,14200914 g1,198:16102798,14200914 x1,198:16711628,14200914 g1,198:16839056,14200914 x1,198:17386274,14200914 g1,198:17513702,14200914 x1,198:17807303,14200914 x1,198:18548297,14200914 (1,198:18548297,14319534:953582,344064,42834 x1,198:18739401,14319534 x1,198:19469111,14319534 ) g1,198:19629307,14200914 x1,198:20176525,14200914 g1,198:20303953,14200914 x1,198:20624353,14200914 (1,198:20624353,14299217:259164,284615,0 x1,198:20815457,14299217 ) (1,198:20883517,14200914:364376,348648,0 (1,198:20883517,14200914:364376,348648,0 x1,198:21247893,14200914 ) ) [1,198:21247893,14362787:308203,673354,0 (1,198:21247893,13930251:308203,240818,4731 x1,198:21523328,13930251 ) (1,198:21247893,14362787:259164,284615,0 x1,198:21438997,14362787 ) ] ) g1,198:21556096,14200914 $1,198:21556096,14200914 ) g1,198:21556096,14200914 ) (1,198:14495428,14927507:7060668,77988,0 g1,198:14495428,14927507 (1,198:14495428,14927507:7060668,77988,0 $1,198:14495428,14927507 x1,198:14806717,14927507 g1,198:17714473,14927507 x1,198:18337051,14927507 g1,198:21244807,14927507 x1,198:21556096,14927507 $1,198:21556096,14927507 ) g1,198:21556096,14927507 ) ] (1,198:14495428,15544934:7060668,367080,106582 k1,198:17264464,15544934:2769036 x1,198:18787061,15544934 k1,198:21556096,15544934:2769035 ) ] ) ] ] (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 [1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 g1,198:0,16782118 (1,198:0,16782118:29834876,0,0 [1,198:0,16782118:29834876,0,0 (1,198:0,16782118:29834876,0,0 h1,198:0,16782118:0,0,0 $1,198:0,16782118 [1,198:0,16782118:20511477,-133806,-297646 (1,198:0,16411564:20511477,241500,72908 h1,198:0,16411564:0,0,0 k1,198:1305270,16411564:1305270 k1,198:1382640,16411564:77370 k1,198:1452107,16411564:69467 x1,198:2089439,16411564 x1,198:2453815,16411564 x1,198:2611103,16411564 k1,198:2680570,16411564:69467 x1,198:2789686,16411564 k1,198:2859153,16411564:69467 x1,198:3332321,16411564 x1,198:3842189,16411564 x1,198:4443149,16411564 k1,198:4512616,16411564:69467 x1,198:4785895,16411564 k1,198:4855362,16411564:69467 x1,198:5638181,16411564 k1,198:5707648,16411564:69467 x1,198:5980927,16411564 k1,198:6050394,16411564:69467 x1,198:6305326,16411564 k1,198:6374793,16411564:69467 x1,198:7321777,16411564 k1,198:7391244,16411564:69467 k1,198:27902721,16411564:20511477 k1,198:27972188,16411564:69467 x1,198:28154376,16411564 x1,198:28871653,16411564 k1,198:28871653,16411564:0 k1,198:28871653,16411564:0 ) ] $1,198:20511477,16782118 k1,198:29834876,16782118:9323399 g1,198:29834876,16782118 ) ] ) g1,198:28655228,16782118 ) g1,198:28655228,16782118 g1,198:28655228,16782118 ) h1,198:1310720,16782118:0,0,0 ] g1,198:28655228,16782118 ) ) ] ] ] !20084 }4 !10 {5 [1,198:4736286,16782118:23918942,12045832,0 (1,198:4736286,4736286:0,0,0 [1,198:0,4736286:0,0,0 (1,198:0,0:0,0,0 (1,198:0,4736286:0,0,0 k1,198:0,4736286:4736286 ) g1,198:0,0 ) ] ) [1,198:4736286,4736286:0,0,0 (1,198:4736286,-65781:0,0,0 k1,198:4736286,-65781:3491347 ) ] [1,198:4736286,16782118:23918942,12045832,0 [1,198:1310720,16782118:27344508,16782118,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:-1310720,0,0 g1,198:0,0 (1,198:0,0:0,0,0 (1,198:0,16782118:29834876,16782118,0 (1,198:0,16782118:29834876,16782118,0 r1,198:29834876,16782118:29834876,16782118,0 ) ) ) (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 ) ) ) ) (1,198:1310720,0:-2490368,0,0 (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:-1310720,16782118,0 (1,198:1310720,16782118:-1310720,16782118,0 g1,198:0,16782118 (1,198:0,16782118:0,0,0 [1,198:0,16782118:27344508,16782118,0 (1,198:0,16782118:27344508,16782118,0 h1,198:0,16782118:0,0,0 r1,198:0,16782118:0,16782118,0 k1,198:27344508,16782118:27344508 g1,198:27344508,16782118 ) ] ) [1,198:0,16782118:0,16782118,0 ] ) ) ) (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:28524156,16782118,0 (1,198:1310720,16782118:28524156,16782118,0 g1,198:28655228,16782118 g1,198:29834876,16782118 (1,198:29834876,16782118:0,0,0 [1,198:29834876,16782118:27344508,16782118,0 (1,198:29834876,16782118:27344508,16782118,0 h1,198:29834876,16782118:0,0,0 r1,198:29834876,16782118:0,16782118,0 k1,198:57179384,16782118:27344508 g1,198:57179384,16782118 ) ] ) [1,198:29834876,16782118:0,16782118,0 (1,198:29834876,16192294:0,0,0 k1,198:29648397,16192294:-186479 g1,198:29834876,16192294 ) (1,198:29834876,16651046:0,0,0 k1,198:29648397,16651046:-186479 g1,198:29834876,16651046 ) ] ) ) ) (1,198:1310720,0:-2490368,0,0 g1,198:0,0 (1,198:0,0:0,0,0 [1,198:0,0:0,0,0 ] ) g1,198:-1179648,0 ) g1,198:-1179648,0 ) (1,198:1310720,0:27344508,0,0 h1,198:1310720,0:0,0,0 k1,198:28655228,0:27344508 g1,198:28655228,0 ) ] ) ) ] [1,198:1310720,16519974:27344508,16519974,0 [1,198:1310720,16519974:27344508,16519974,0 v1,198:1310720,0:0,0,0 v1,198:1310720,0:0,0,0 (1,198:1310720,1532292:27344508,655359,357028 h1,198:1310720,1532292:0,0,0 g1,198:2056580,1532292 $1,198:2056580,1532292 [1,198:2056580,1532292:25823721,579600,357028 (1,198:2056580,1532292:25823721,579600,168288 x1,198:4504713,1532292 g1,198:4723333,1532292 x1,198:5947007,1532292 x1,198:6963847,1532292 g1,198:7182467,1532292 x1,198:9104492,1532292 x1,198:9268065,1532292 g1,198:9486685,1532292 x1,198:11497568,1532292 g1,198:11716188,1532292 x1,198:12372059,1532292 g1,198:12590679,1532292 x1,198:14949955,1532292 g1,198:15168575,1532292 x1,198:17441337,1532292 g1,198:17659957,1532292 x1,198:21550383,1532292 g1,198:21769003,1532292 x1,198:23867177,1532292 x1,198:25388911,1532292 g1,198:25607531,1532292 x1,198:27487085,1532292 x1,198:27880301,1532292 ) ] $1,198:27880301,1532292 x1,198:28520360,1532292 k1,198:28587794,1532292:67434 k1,198:28655228,1532292:67434 ) v1,198:1310720,2053160:0,0,0 (1,198:2621442,3552684:26033786,478735,10808 (1,198:2621442,3552684:0,291271,0 g1,198:2621442,3552684 g1,198:1638400,3552684 g1,198:1310720,3552684 (1,198:1310720,3552684:983042,291271,0 k1,198:2293762,3552684:983042 (1,198:2293762,3552684:0,291271,0 k1,198:2075309,3552684:-218453 x1,198:2293762,3552684 ) ) g1,198:2621442,3552684 ) x1,198:3641170,3552684 x1,198:4478713,3552684 k1,198:16566971,3552684:12088258 k1,198:28655229,3552684:12088258 ) (1,198:9359409,4728486:12557852,912979,682671 x1,198:9774049,4728486 (1,198:9774049,4816959:241290,262145,0 x1,198:9950065,4816959 ) g1,198:10179179,4728486 x1,198:10671675,4728486 g1,198:10835515,4728486 x1,198:11624285,4728486 (1,198:11624285,4816959:241290,262145,0 x1,198:11800301,4816959 ) g1,198:11980263,4728486 x1,198:12472759,4728486 g1,198:12587447,4728486 [1,198:12587447,4728486:848830,912979,682671 (1,198:12587447,4091478:848830,216989,0 k1,198:12810473,4091478:223026 x1,198:13213252,4091478 k1,198:13436277,4091478:223025 ) (1,198:12587447,4728486:848830,519044,224133 k1,198:12687754,4728486:100307 (1,198:12687754,4851170:648216,641728,101449 x1,198:13335970,4851170 ) k1,198:13436277,4728486:100307 ) (1,198:12587447,5352175:848830,301056,0 x1,198:12774295,5352175 x1,198:13436277,5352175 ) ] g1,198:13534581,4728486 x1,198:13895553,4728486 (1,198:13883756,4484889:254830,203889,0 x1,198:14070604,4484889 ) (1,198:14138586,4728486:293142,701120,406208 $1,198:14138586,4728486 (1,198:14138586,4728486:293142,701120,406208 (1,198:14138586,4073486:293142,23002,1038090 x1,198:14431728,4073486 ) v1,198:14431728,4728486:0,701120,406208 h1,198:14431728,4728486:0,0,0 ) $1,198:14431728,4728486 ) x1,198:14819480,4728486 (1,198:14819480,4816959:846405,278462,39452 x1,198:14995496,4816959 x1,198:15597903,4816959 ) x1,198:15945320,4728486 (1,198:15945320,4816959:846405,278462,39452 x1,198:16121336,4816959 x1,198:16723743,4816959 ) g1,198:16906413,4728486 x1,198:17398909,4728486 g1,198:17513597,4728486 (1,198:17513597,3991206:545586,0,1179648 x1,198:17823254,3991206 ) g1,198:18157487,4728486 x1,198:18730923,4728486 (1,198:18730923,4839956:976227,314369,89201 x1,198:19036761,4839956 x1,198:19639168,4839956 ) x1,198:20020263,4728486 (1,198:20020263,4839956:976227,314369,89201 x1,198:20326101,4839956 x1,198:20928508,4839956 ) g1,198:21094794,4728486 x1,198:21569469,4728486 (1,198:21624119,4728486:293142,701120,406208 $1,198:21624119,4728486 (1,198:21624119,4728486:293142,701120,406208 (1,198:21624119,4073486:293142,23002,1038090 x1,198:21917261,4073486 ) v1,198:21917261,4728486:0,701120,406208 h1,198:21917261,4728486:0,0,0 ) $1,198:21917261,4728486 ) ) (1,198:2621442,6081256:26033786,473491,10808 (1,198:2621442,6081256:0,291271,0 g1,198:2621442,6081256 g1,198:1638400,6081256 g1,198:1310720,6081256 (1,198:1310720,6081256:983042,291271,0 k1,198:2293762,6081256:983042 (1,198:2293762,6081256:0,291271,0 k1,198:2075309,6081256:-218453 x1,198:2293762,6081256 ) ) g1,198:2621442,6081256 ) x1,198:5754025,6081256 g1,198:5936208,6081256 k1,198:17295718,6081256:11359510 k1,198:28655228,6081256:11359510 ) (1,198:8317100,7279968:14642470,1122368,560037 x1,198:8596535,7279968 (1,198:8596535,7368441:241290,262145,0 x1,198:8772551,7368441 ) g1,198:9001665,7279968 x1,198:9494161,7279968 g1,198:9658001,7279968 x1,198:9954275,7279968 (1,198:9954275,7368441:241290,262145,0 x1,198:10130291,7368441 ) (1,198:10195565,7279968:536203,270335,88473 x1,198:10490478,7279968 (1,198:10490478,7368441:241290,262145,0 x1,198:10666494,7368441 ) ) (1,198:10731768,7036371:286458,221806,4357 x1,198:10985458,7036371 ) g1,198:11608050,7279968 x1,198:11776440,7279968 g1,198:12366264,7279968 g1,198:12464568,7279968 (1,198:12464568,7279968:536203,270335,88473 x1,198:12759481,7279968 (1,198:12759481,7368441:241290,262145,0 x1,198:12935497,7368441 ) ) g1,198:13164611,7279968 x1,198:13819308,7279968 g1,198:13983148,7279968 (1,198:13983148,7279968:4826269,1122368,560037 (1,198:13983148,7279968:3987333,854949,560037 (1,198:13983148,6448021:325576,23002,1391984 x1,198:14308724,6448021 ) (1,198:14308724,6542688:545586,0,1179648 x1,198:14618381,6542688 ) g1,198:14952614,7279968 (1,198:14952614,7279968:575179,409600,200671 x1,198:15323072,7279968 (1,198:15323072,7391438:204721,314369,89201 x1,198:15452894,7391438 ) ) (1,198:15527793,7279968:650547,262144,200671 x1,198:15807228,7279968 (1,198:15807228,7391438:371112,314369,89201 x1,198:16113066,7391438 ) ) (1,198:16178340,6967690:838936,447856,248872 h1,198:16178340,6967690:78643,0,0 [1,198:16256983,6967690:648882,447856,248872 (1,198:16256983,6734874:648882,215040,90603 x1,198:16449983,6734874 x1,198:16905865,6734874 ) (1,198:16256983,7125959:648882,211681,90603 k1,198:16480829,7125959:223846 x1,198:16673829,7125959 k1,198:16905865,7125959:223845 ) ] h1,198:16905865,6967690:78643,0,0 ) g1,198:17115580,7279968 x1,198:17590255,7279968 (1,198:17644905,6448021:325576,23002,1391984 x1,198:17970481,6448021 ) ) (1,198:17970481,6602097:838936,444497,248872 h1,198:17970481,6602097:78643,0,0 [1,198:18049124,6602097:648882,444497,248872 (1,198:18049124,6369281:648882,211681,90603 k1,198:18272970,6369281:223846 x1,198:18465970,6369281 k1,198:18698006,6369281:223845 ) (1,198:18049124,6760366:648882,215040,90603 x1,198:18242124,6760366 x1,198:18698006,6760366 ) ] h1,198:18698006,6602097:78643,0,0 ) ) g1,198:19399241,7279968 x1,198:19567631,7279968 g1,198:20157455,7279968 g1,198:20255759,7279968 (1,198:20255759,7279968:2474435,692843,493092 x1,198:20535194,7279968 (1,198:20535194,7391438:371112,314369,89201 x1,198:20841032,7391438 ) g1,198:21070146,7279968 x1,198:21724843,7279968 g1,198:21888683,7279968 (1,198:21888683,7279968:841511,692843,493092 (1,198:21888683,7279968:841511,692843,493092 h1,198:21888683,7279968:78643,0,0 [1,198:21967326,7279968:684225,692843,493092 (1,198:21967326,6849269:684225,262144,200671 x1,198:22280439,6849269 (1,198:22280439,6960739:371112,314369,89201 x1,198:22586277,6960739 ) ) (1,198:21967326,7684587:684225,409600,88473 k1,198:22040657,7684587:73331 x1,198:22336931,7684587 (1,198:22336931,7773060:241290,262145,0 x1,198:22512947,7773060 ) k1,198:22651551,7684587:73330 ) ] h1,198:22651551,7279968:78643,0,0 ) ) ) g1,198:22844882,7279968 g1,198:22959570,7279968 ) (1,198:2621442,8197472:26033786,0,0 k1,198:15638335,8197472:13016893 k1,198:28655228,8197472:13016893 ) (1,198:2621442,9356834:26033786,473491,140240 (1,198:2621442,9356834:0,291271,0 g1,198:2621442,9356834 g1,198:1638400,9356834 g1,198:1310720,9356834 (1,198:1310720,9356834:983042,291271,0 k1,198:2293762,9356834:983042 (1,198:2293762,9356834:0,291271,0 k1,198:2075309,9356834:-218453 x1,198:2293762,9356834 ) ) g1,198:2621442,9356834 ) x1,198:4333216,9356834 x1,198:5170760,9356834 x1,198:5478783,9356834 g1,198:5660966,9356834 k1,198:17158097,9356834:11497131 k1,198:28655228,9356834:11497131 ) (1,198:7608969,10484038:16058733,734949,611030 x1,198:7947572,10484038 (1,198:7947572,10599909:1057095,341315,96847 x1,198:8279625,10599909 x1,198:8933667,10599909 ) g1,198:9186707,10484038 x1,198:9733925,10484038 g1,198:9915965,10484038 (1,198:9915965,10484038:702308,291271,212718 x1,198:10218160,10484038 (1,198:10218160,10599909:400113,341315,96847 x1,198:10550213,10599909 ) ) x1,198:10938673,10484038 (1,198:10938673,10582341:916146,302331,42834 x1,198:11129777,10582341 x1,198:11783819,10582341 ) g1,198:12510179,10484038 x1,198:12692224,10484038 g1,198:13347584,10484038 g1,198:13456808,10484038 x1,198:13777208,10484038 (1,198:13777208,10582341:916146,302331,42834 x1,198:13968312,10582341 x1,198:14622354,10582341 ) g1,198:14875394,10484038 x1,198:15422612,10484038 g1,198:15604652,10484038 x1,198:16213482,10484038 g1,198:16340910,10484038 x1,198:16888128,10484038 g1,198:17015556,10484038 x1,198:17309157,10484038 x1,198:17613243,10484038 (1,198:17613243,10213375:273864,221366,0 x1,198:17816107,10213375 ) x1,198:18207507,10484038 (1,198:18207507,10582341:259164,284615,0 x1,198:18398611,10582341 ) g1,198:19122031,10484038 x1,198:19304076,10484038 g1,198:19959436,10484038 g1,198:20068660,10484038 (1,198:20068660,10484038:3599042,734949,611030 (1,198:20068660,10484038:1690567,734949,611030 (1,198:20068660,10484038:1690567,734949,611030 h1,198:20068660,10484038:78643,0,0 [1,198:20147303,10484038:1533281,734949,611030 (1,198:20147303,10040360:1533281,291271,161454 x1,198:20485906,10040360 (1,198:20485906,10158980:1194678,344064,42834 x1,198:20955542,10158980 x1,198:21609584,10158980 ) ) (1,198:20147303,10933614:1533281,291271,161454 x1,198:20485906,10933614 (1,198:20485906,11052234:1194678,344064,42834 x1,198:20955542,11052234 x1,198:21609584,11052234 ) ) ] h1,198:21680584,10484038:78643,0,0 ) ) g1,198:21941267,10484038 x1,198:22488485,10484038 g1,198:22670525,10484038 (1,198:22670525,10484038:997177,734949,568196 (1,198:22670525,10484038:997177,734949,568196 h1,198:22670525,10484038:78643,0,0 [1,198:22749168,10484038:839891,734949,568196 (1,198:22749168,10040360:839891,291271,118620 x1,198:23051363,10040360 (1,198:23051363,10158980:537696,344064,0 x1,198:23520999,10158980 ) ) (1,198:22749168,10933614:839891,291271,118620 x1,198:23051363,10933614 (1,198:23051363,11052234:537696,344064,0 x1,198:23520999,11052234 ) ) ] h1,198:23589059,10484038:78643,0,0 ) ) ) h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 ) (1,198:2621442,12026487:26033786,0,0 k1,198:15638335,12026487:13016893 k1,198:28655228,12026487:13016893 ) (1,198:2621442,12812919:26033786,512491,215756 (1,198:2621442,12812919:0,291271,0 g1,198:2621442,12812919 g1,198:1638400,12812919 g1,198:1310720,12812919 (1,198:1310720,12812919:983042,291271,0 k1,198:2293762,12812919:983042 (1,198:2293762,12812919:0,291271,0 k1,198:2075309,12812919:-218453 x1,198:2293762,12812919 ) ) g1,198:2621442,12812919 ) x1,198:4806386,12812919 x1,198:5871985,12812919 x1,198:6054168,12812919 g1,198:6279599,12812919 x1,198:7262630,12812919 x1,198:7974998,12812919 g1,198:8157181,12812919 $1,198:8157181,12812919 x1,198:9133954,12812919 (1,198:9133954,12928790:505347,341315,96847 x1,198:9274903,12928790 x1,198:9551746,12928790 ) x1,198:9997121,12812919 [1,198:9997121,13023944:1013074,684508,4731 (1,198:9997121,12575024:470071,235588,0 x1,198:10434424,12575024 ) (1,198:9997121,13023944:1013074,344064,4731 x1,198:10228222,13023944 x1,198:10977427,13023944 ) ] $1,198:11010195,12812919 x1,198:11192378,12812919 g1,198:11417809,12812919 x1,198:11999761,12812919 g1,198:12181944,12812919 $1,198:12181944,12812919 x1,198:12555137,12812919 x1,198:13438053,12812919 x1,198:13797733,12812919 (1,198:13797733,12812919:702308,291271,212718 x1,198:14099928,12812919 (1,198:14099928,12928790:400113,341315,96847 x1,198:14431981,12928790 ) ) x1,198:14781190,12812919 $1,198:14781190,12812919 g1,198:14963373,12812919 x1,198:15346101,12812919 g1,198:15528284,12812919 $1,198:15528284,12812919 x1,198:16270144,12812919 (1,198:16270144,12911222:259164,284615,0 x1,198:16461248,12911222 ) g1,198:16711348,12812919 x1,198:17258566,12812919 g1,198:17440606,12812919 x1,198:18049436,12812919 $1,198:18049436,12812919 g1,198:18231619,12812919 x1,198:19725162,12812919 x1,198:21161697,12812919 g1,198:21343880,12812919 $1,198:21343880,12812919 x1,198:21646075,12812919 (1,198:21646075,12928790:400113,341315,96847 x1,198:21978128,12928790 ) $1,198:22046188,12812919 g1,198:22228371,12812919 x1,198:23321499,12812919 g1,198:23503682,12812919 $1,198:23503682,12812919 x1,198:23922385,12812919 (1,198:23922385,12911222:259164,284615,0 x1,198:24113489,12911222 ) $1,198:24181549,12812919 x1,198:24363732,12812919 g1,198:24545915,12812919 x1,198:25273355,12812919 g1,198:25455538,12812919 $1,198:25455538,12812919 x1,198:25775938,12812919 (1,198:25775938,12911222:259164,284615,0 x1,198:25967042,12911222 ) $1,198:26035102,12812919 g1,198:26217285,12812919 x1,198:27527988,12812919 g1,198:27710171,12812919 k1,198:28182700,12812919:472529 k1,198:28655228,12812919:472528 ) (1,198:9720574,14200914:11835522,779023,1516138 [1,198:9720574,14200914:3172865,779023,1410544 [1,198:9720574,14200914:3172865,779023,867495 (1,198:9720574,14200914:3172865,779023,451343 g1,198:9720574,14200914 (1,198:9720574,14200914:3172865,779023,451343 $1,198:9720574,14200914 g1,198:9720574,14200914 (1,198:9720574,14200914:3172865,779023,451343 (1,198:9720574,14200914:0,779023,451343 $1,198:9720574,14200914 (1,198:9720574,14200914:0,779023,451343 h1,198:9720574,14200914:0,0,0 v1,198:9720574,14200914:0,779023,451343 h1,198:9720574,14200914:0,0,0 ) $1,198:9720574,14200914 ) x1,198:10157482,14200914 (1,198:10157482,14299217:259164,284615,0 x1,198:10348586,14299217 ) g1,198:10598686,14200914 x1,198:11145904,14200914 g1,198:11327944,14200914 x1,198:11635307,14200914 x1,198:12073670,14200914 [1,198:12073670,14364941:819769,804366,0 (1,198:12128016,13862906:765423,302331,112564 x1,198:12853200,13862906 ) (1,198:12073670,14364941:259164,284615,0 x1,198:12264774,14364941 ) ] ) g1,198:12893439,14200914 $1,198:12893439,14200914 ) g1,198:12893439,14200914 ) (1,198:9720574,14927507:3172865,77988,0 g1,198:9720574,14927507 (1,198:9720574,14927507:3172865,77988,0 $1,198:9720574,14927507 x1,198:10031863,14927507 g1,198:10995718,14927507 x1,198:11618296,14927507 g1,198:12582150,14927507 x1,198:12893439,14927507 $1,198:12893439,14927507 ) g1,198:12893439,14927507 ) ] (1,198:9720574,15537708:3172865,359854,8214 k1,198:10365904,15537708:645330 x1,198:12248109,15537708 k1,198:12893439,15537708:645330 ) ] g1,198:13548799,14200914 x1,198:13730844,14200914 g1,198:14386204,14200914 g1,198:14495428,14200914 [1,198:14495428,14200914:7060668,779023,1516138 [1,198:14495428,14200914:7060668,779023,867495 (1,198:14495428,14200914:7060668,779023,451343 g1,198:14495428,14200914 (1,198:14495428,14200914:7060668,779023,451343 $1,198:14495428,14200914 g1,198:14495428,14200914 (1,198:14495428,14200914:7060668,779023,451343 (1,198:14495428,14200914:0,779023,451343 $1,198:14495428,14200914 (1,198:14495428,14200914:0,779023,451343 h1,198:14495428,14200914:0,0,0 v1,198:14495428,14200914:0,779023,451343 h1,198:14495428,14200914:0,0,0 ) $1,198:14495428,14200914 ) x1,198:14932336,14200914 (1,198:14932336,14299217:259164,284615,0 x1,198:15123440,14299217 ) g1,198:15373540,14200914 x1,198:15920758,14200914 g1,198:16102798,14200914 x1,198:16711628,14200914 g1,198:16839056,14200914 x1,198:17386274,14200914 g1,198:17513702,14200914 x1,198:17807303,14200914 x1,198:18548297,14200914 (1,198:18548297,14319534:953582,344064,42834 x1,198:18739401,14319534 x1,198:19469111,14319534 ) g1,198:19629307,14200914 x1,198:20176525,14200914 g1,198:20303953,14200914 x1,198:20624353,14200914 (1,198:20624353,14299217:259164,284615,0 x1,198:20815457,14299217 ) (1,198:20883517,14200914:364376,348648,0 (1,198:20883517,14200914:364376,348648,0 x1,198:21247893,14200914 ) ) [1,198:21247893,14362787:308203,673354,0 (1,198:21247893,13930251:308203,240818,4731 x1,198:21523328,13930251 ) (1,198:21247893,14362787:259164,284615,0 x1,198:21438997,14362787 ) ] ) g1,198:21556096,14200914 $1,198:21556096,14200914 ) g1,198:21556096,14200914 ) (1,198:14495428,14927507:7060668,77988,0 g1,198:14495428,14927507 (1,198:14495428,14927507:7060668,77988,0 $1,198:14495428,14927507 x1,198:14806717,14927507 g1,198:17714473,14927507 x1,198:18337051,14927507 g1,198:21244807,14927507 x1,198:21556096,14927507 $1,198:21556096,14927507 ) g1,198:21556096,14927507 ) ] (1,198:14495428,15544934:7060668,367080,106582 k1,198:17264464,15544934:2769036 x1,198:18787061,15544934 k1,198:21556096,15544934:2769035 ) ] ) ] ] (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 [1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 g1,198:0,16782118 (1,198:0,16782118:29834876,0,0 [1,198:0,16782118:29834876,0,0 (1,198:0,16782118:29834876,0,0 h1,198:0,16782118:0,0,0 $1,198:0,16782118 [1,198:0,16782118:20511477,-133806,-297646 (1,198:0,16411564:20511477,241500,72908 h1,198:0,16411564:0,0,0 k1,198:1305270,16411564:1305270 k1,198:1382640,16411564:77370 k1,198:1452107,16411564:69467 x1,198:2089439,16411564 x1,198:2453815,16411564 x1,198:2611103,16411564 k1,198:2680570,16411564:69467 x1,198:2789686,16411564 k1,198:2859153,16411564:69467 x1,198:3332321,16411564 x1,198:3842189,16411564 x1,198:4443149,16411564 k1,198:4512616,16411564:69467 x1,198:4785895,16411564 k1,198:4855362,16411564:69467 x1,198:5638181,16411564 k1,198:5707648,16411564:69467 x1,198:5980927,16411564 k1,198:6050394,16411564:69467 x1,198:6305326,16411564 k1,198:6374793,16411564:69467 x1,198:7321777,16411564 k1,198:7391244,16411564:69467 k1,198:27902721,16411564:20511477 k1,198:27972188,16411564:69467 x1,198:28154376,16411564 x1,198:28871653,16411564 k1,198:28871653,16411564:0 k1,198:28871653,16411564:0 ) ] $1,198:20511477,16782118 k1,198:29834876,16782118:9323399 g1,198:29834876,16782118 ) ] ) g1,198:28655228,16782118 ) g1,198:28655228,16782118 g1,198:28655228,16782118 ) h1,198:1310720,16782118:0,0,0 ] g1,198:28655228,16782118 ) ) ] ] ] !20146 }5 !10 {6 [1,198:4736286,16782118:23918942,12045832,0 (1,198:4736286,4736286:0,0,0 [1,198:0,4736286:0,0,0 (1,198:0,0:0,0,0 (1,198:0,4736286:0,0,0 k1,198:0,4736286:4736286 ) g1,198:0,0 ) ] ) [1,198:4736286,4736286:0,0,0 (1,198:4736286,-65781:0,0,0 k1,198:4736286,-65781:3491347 ) ] [1,198:4736286,16782118:23918942,12045832,0 [1,198:1310720,16782118:27344508,16782118,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 (1,198:1310720,0:27344508,0,0 [1,198:1310720,0:27344508,0,0 (1,198:1310720,0:-1310720,0,0 g1,198:0,0 (1,198:0,0:0,0,0 (1,198:0,16782118:29834876,16782118,0 (1,198:0,16782118:29834876,16782118,0 r1,198:29834876,16782118:29834876,16782118,0 ) ) ) (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 (1,198:0,0:0,0,0 ) ) ) ) (1,198:1310720,0:-2490368,0,0 (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:-1310720,16782118,0 (1,198:1310720,16782118:-1310720,16782118,0 g1,198:0,16782118 (1,198:0,16782118:0,0,0 [1,198:0,16782118:27344508,16782118,0 (1,198:0,16782118:27344508,16782118,0 h1,198:0,16782118:0,0,0 r1,198:0,16782118:0,16782118,0 k1,198:27344508,16782118:27344508 g1,198:27344508,16782118 ) ] ) [1,198:0,16782118:0,16782118,0 ] ) ) ) (1,198:1310720,0:0,0,0 (1,198:1310720,16782118:28524156,16782118,0 (1,198:1310720,16782118:28524156,16782118,0 g1,198:28655228,16782118 g1,198:29834876,16782118 (1,198:29834876,16782118:0,0,0 [1,198:29834876,16782118:27344508,16782118,0 (1,198:29834876,16782118:27344508,16782118,0 h1,198:29834876,16782118:0,0,0 r1,198:29834876,16782118:0,16782118,0 k1,198:57179384,16782118:27344508 g1,198:57179384,16782118 ) ] ) [1,198:29834876,16782118:0,16782118,0 (1,198:29834876,16192294:0,0,0 k1,198:29648397,16192294:-186479 g1,198:29834876,16192294 ) (1,198:29834876,16651046:0,0,0 k1,198:29648397,16651046:-186479 g1,198:29834876,16651046 ) ] ) ) ) (1,198:1310720,0:-2490368,0,0 g1,198:0,0 (1,198:0,0:0,0,0 [1,198:0,0:0,0,0 ] ) g1,198:-1179648,0 ) g1,198:-1179648,0 ) (1,198:1310720,0:27344508,0,0 h1,198:1310720,0:0,0,0 k1,198:28655228,0:27344508 g1,198:28655228,0 ) ] ) ) ] [1,198:1310720,16519974:27344508,16519974,0 [1,198:1310720,16519974:27344508,16519974,0 v1,198:1310720,0:0,0,0 v1,198:1310720,0:0,0,0 (1,198:1310720,1532292:27344508,655359,357028 h1,198:1310720,1532292:0,0,0 g1,198:2056580,1532292 $1,198:2056580,1532292 [1,198:2056580,1532292:25823721,579600,357028 (1,198:2056580,1532292:25823721,579600,168288 x1,198:4504713,1532292 g1,198:4723333,1532292 x1,198:5947007,1532292 x1,198:6963847,1532292 g1,198:7182467,1532292 x1,198:9104492,1532292 x1,198:9268065,1532292 g1,198:9486685,1532292 x1,198:11497568,1532292 g1,198:11716188,1532292 x1,198:12372059,1532292 g1,198:12590679,1532292 x1,198:14949955,1532292 g1,198:15168575,1532292 x1,198:17441337,1532292 g1,198:17659957,1532292 x1,198:21550383,1532292 g1,198:21769003,1532292 x1,198:23867177,1532292 x1,198:25388911,1532292 g1,198:25607531,1532292 x1,198:27487085,1532292 x1,198:27880301,1532292 ) ] $1,198:27880301,1532292 x1,198:28520360,1532292 k1,198:28587794,1532292:67434 k1,198:28655228,1532292:67434 ) v1,198:1310720,2053160:0,0,0 (1,198:2621442,3552684:26033786,478735,10808 (1,198:2621442,3552684:0,291271,0 g1,198:2621442,3552684 g1,198:1638400,3552684 g1,198:1310720,3552684 (1,198:1310720,3552684:983042,291271,0 k1,198:2293762,3552684:983042 (1,198:2293762,3552684:0,291271,0 k1,198:2075309,3552684:-218453 x1,198:2293762,3552684 ) ) g1,198:2621442,3552684 ) x1,198:3641170,3552684 x1,198:4478713,3552684 k1,198:16566971,3552684:12088258 k1,198:28655229,3552684:12088258 ) (1,198:9359409,4728486:12557852,912979,682671 x1,198:9774049,4728486 (1,198:9774049,4816959:241290,262145,0 x1,198:9950065,4816959 ) g1,198:10179179,4728486 x1,198:10671675,4728486 g1,198:10835515,4728486 x1,198:11624285,4728486 (1,198:11624285,4816959:241290,262145,0 x1,198:11800301,4816959 ) g1,198:11980263,4728486 x1,198:12472759,4728486 g1,198:12587447,4728486 [1,198:12587447,4728486:848830,912979,682671 (1,198:12587447,4091478:848830,216989,0 k1,198:12810473,4091478:223026 x1,198:13213252,4091478 k1,198:13436277,4091478:223025 ) (1,198:12587447,4728486:848830,519044,224133 k1,198:12687754,4728486:100307 (1,198:12687754,4851170:648216,641728,101449 x1,198:13335970,4851170 ) k1,198:13436277,4728486:100307 ) (1,198:12587447,5352175:848830,301056,0 x1,198:12774295,5352175 x1,198:13436277,5352175 ) ] g1,198:13534581,4728486 x1,198:13895553,4728486 (1,198:13883756,4484889:254830,203889,0 x1,198:14070604,4484889 ) (1,198:14138586,4728486:293142,701120,406208 $1,198:14138586,4728486 (1,198:14138586,4728486:293142,701120,406208 (1,198:14138586,4073486:293142,23002,1038090 x1,198:14431728,4073486 ) v1,198:14431728,4728486:0,701120,406208 h1,198:14431728,4728486:0,0,0 ) $1,198:14431728,4728486 ) x1,198:14819480,4728486 (1,198:14819480,4816959:846405,278462,39452 x1,198:14995496,4816959 x1,198:15597903,4816959 ) x1,198:15945320,4728486 (1,198:15945320,4816959:846405,278462,39452 x1,198:16121336,4816959 x1,198:16723743,4816959 ) g1,198:16906413,4728486 x1,198:17398909,4728486 g1,198:17513597,4728486 (1,198:17513597,3991206:545586,0,1179648 x1,198:17823254,3991206 ) g1,198:18157487,4728486 x1,198:18730923,4728486 (1,198:18730923,4839956:976227,314369,89201 x1,198:19036761,4839956 x1,198:19639168,4839956 ) x1,198:20020263,4728486 (1,198:20020263,4839956:976227,314369,89201 x1,198:20326101,4839956 x1,198:20928508,4839956 ) g1,198:21094794,4728486 x1,198:21569469,4728486 (1,198:21624119,4728486:293142,701120,406208 $1,198:21624119,4728486 (1,198:21624119,4728486:293142,701120,406208 (1,198:21624119,4073486:293142,23002,1038090 x1,198:21917261,4073486 ) v1,198:21917261,4728486:0,701120,406208 h1,198:21917261,4728486:0,0,0 ) $1,198:21917261,4728486 ) ) (1,198:2621442,6081256:26033786,473491,10808 (1,198:2621442,6081256:0,291271,0 g1,198:2621442,6081256 g1,198:1638400,6081256 g1,198:1310720,6081256 (1,198:1310720,6081256:983042,291271,0 k1,198:2293762,6081256:983042 (1,198:2293762,6081256:0,291271,0 k1,198:2075309,6081256:-218453 x1,198:2293762,6081256 ) ) g1,198:2621442,6081256 ) x1,198:5754025,6081256 g1,198:5936208,6081256 k1,198:17295718,6081256:11359510 k1,198:28655228,6081256:11359510 ) (1,198:8317100,7279968:14642470,1122368,560037 x1,198:8596535,7279968 (1,198:8596535,7368441:241290,262145,0 x1,198:8772551,7368441 ) g1,198:9001665,7279968 x1,198:9494161,7279968 g1,198:9658001,7279968 x1,198:9954275,7279968 (1,198:9954275,7368441:241290,262145,0 x1,198:10130291,7368441 ) (1,198:10195565,7279968:536203,270335,88473 x1,198:10490478,7279968 (1,198:10490478,7368441:241290,262145,0 x1,198:10666494,7368441 ) ) (1,198:10731768,7036371:286458,221806,4357 x1,198:10985458,7036371 ) g1,198:11608050,7279968 x1,198:11776440,7279968 g1,198:12366264,7279968 g1,198:12464568,7279968 (1,198:12464568,7279968:536203,270335,88473 x1,198:12759481,7279968 (1,198:12759481,7368441:241290,262145,0 x1,198:12935497,7368441 ) ) g1,198:13164611,7279968 x1,198:13819308,7279968 g1,198:13983148,7279968 (1,198:13983148,7279968:4826269,1122368,560037 (1,198:13983148,7279968:3987333,854949,560037 (1,198:13983148,6448021:325576,23002,1391984 x1,198:14308724,6448021 ) (1,198:14308724,6542688:545586,0,1179648 x1,198:14618381,6542688 ) g1,198:14952614,7279968 (1,198:14952614,7279968:575179,409600,200671 x1,198:15323072,7279968 (1,198:15323072,7391438:204721,314369,89201 x1,198:15452894,7391438 ) ) (1,198:15527793,7279968:650547,262144,200671 x1,198:15807228,7279968 (1,198:15807228,7391438:371112,314369,89201 x1,198:16113066,7391438 ) ) (1,198:16178340,6967690:838936,447856,248872 h1,198:16178340,6967690:78643,0,0 [1,198:16256983,6967690:648882,447856,248872 (1,198:16256983,6734874:648882,215040,90603 x1,198:16449983,6734874 x1,198:16905865,6734874 ) (1,198:16256983,7125959:648882,211681,90603 k1,198:16480829,7125959:223846 x1,198:16673829,7125959 k1,198:16905865,7125959:223845 ) ] h1,198:16905865,6967690:78643,0,0 ) g1,198:17115580,7279968 x1,198:17590255,7279968 (1,198:17644905,6448021:325576,23002,1391984 x1,198:17970481,6448021 ) ) (1,198:17970481,6602097:838936,444497,248872 h1,198:17970481,6602097:78643,0,0 [1,198:18049124,6602097:648882,444497,248872 (1,198:18049124,6369281:648882,211681,90603 k1,198:18272970,6369281:223846 x1,198:18465970,6369281 k1,198:18698006,6369281:223845 ) (1,198:18049124,6760366:648882,215040,90603 x1,198:18242124,6760366 x1,198:18698006,6760366 ) ] h1,198:18698006,6602097:78643,0,0 ) ) g1,198:19399241,7279968 x1,198:19567631,7279968 g1,198:20157455,7279968 g1,198:20255759,7279968 (1,198:20255759,7279968:2474435,692843,493092 x1,198:20535194,7279968 (1,198:20535194,7391438:371112,314369,89201 x1,198:20841032,7391438 ) g1,198:21070146,7279968 x1,198:21724843,7279968 g1,198:21888683,7279968 (1,198:21888683,7279968:841511,692843,493092 (1,198:21888683,7279968:841511,692843,493092 h1,198:21888683,7279968:78643,0,0 [1,198:21967326,7279968:684225,692843,493092 (1,198:21967326,6849269:684225,262144,200671 x1,198:22280439,6849269 (1,198:22280439,6960739:371112,314369,89201 x1,198:22586277,6960739 ) ) (1,198:21967326,7684587:684225,409600,88473 k1,198:22040657,7684587:73331 x1,198:22336931,7684587 (1,198:22336931,7773060:241290,262145,0 x1,198:22512947,7773060 ) k1,198:22651551,7684587:73330 ) ] h1,198:22651551,7279968:78643,0,0 ) ) ) g1,198:22844882,7279968 g1,198:22959570,7279968 ) (1,198:2621442,8197472:26033786,0,0 k1,198:15638335,8197472:13016893 k1,198:28655228,8197472:13016893 ) (1,198:2621442,9356834:26033786,473491,140240 (1,198:2621442,9356834:0,291271,0 g1,198:2621442,9356834 g1,198:1638400,9356834 g1,198:1310720,9356834 (1,198:1310720,9356834:983042,291271,0 k1,198:2293762,9356834:983042 (1,198:2293762,9356834:0,291271,0 k1,198:2075309,9356834:-218453 x1,198:2293762,9356834 ) ) g1,198:2621442,9356834 ) x1,198:4333216,9356834 x1,198:5170760,9356834 x1,198:5478783,9356834 g1,198:5660966,9356834 k1,198:17158097,9356834:11497131 k1,198:28655228,9356834:11497131 ) (1,198:7608969,10484038:16058733,734949,611030 x1,198:7947572,10484038 (1,198:7947572,10599909:1057095,341315,96847 x1,198:8279625,10599909 x1,198:8933667,10599909 ) g1,198:9186707,10484038 x1,198:9733925,10484038 g1,198:9915965,10484038 (1,198:9915965,10484038:702308,291271,212718 x1,198:10218160,10484038 (1,198:10218160,10599909:400113,341315,96847 x1,198:10550213,10599909 ) ) x1,198:10938673,10484038 (1,198:10938673,10582341:916146,302331,42834 x1,198:11129777,10582341 x1,198:11783819,10582341 ) g1,198:12510179,10484038 x1,198:12692224,10484038 g1,198:13347584,10484038 g1,198:13456808,10484038 x1,198:13777208,10484038 (1,198:13777208,10582341:916146,302331,42834 x1,198:13968312,10582341 x1,198:14622354,10582341 ) g1,198:14875394,10484038 x1,198:15422612,10484038 g1,198:15604652,10484038 x1,198:16213482,10484038 g1,198:16340910,10484038 x1,198:16888128,10484038 g1,198:17015556,10484038 x1,198:17309157,10484038 x1,198:17613243,10484038 (1,198:17613243,10213375:273864,221366,0 x1,198:17816107,10213375 ) x1,198:18207507,10484038 (1,198:18207507,10582341:259164,284615,0 x1,198:18398611,10582341 ) g1,198:19122031,10484038 x1,198:19304076,10484038 g1,198:19959436,10484038 g1,198:20068660,10484038 (1,198:20068660,10484038:3599042,734949,611030 (1,198:20068660,10484038:1690567,734949,611030 (1,198:20068660,10484038:1690567,734949,611030 h1,198:20068660,10484038:78643,0,0 [1,198:20147303,10484038:1533281,734949,611030 (1,198:20147303,10040360:1533281,291271,161454 x1,198:20485906,10040360 (1,198:20485906,10158980:1194678,344064,42834 x1,198:20955542,10158980 x1,198:21609584,10158980 ) ) (1,198:20147303,10933614:1533281,291271,161454 x1,198:20485906,10933614 (1,198:20485906,11052234:1194678,344064,42834 x1,198:20955542,11052234 x1,198:21609584,11052234 ) ) ] h1,198:21680584,10484038:78643,0,0 ) ) g1,198:21941267,10484038 x1,198:22488485,10484038 g1,198:22670525,10484038 (1,198:22670525,10484038:997177,734949,568196 (1,198:22670525,10484038:997177,734949,568196 h1,198:22670525,10484038:78643,0,0 [1,198:22749168,10484038:839891,734949,568196 (1,198:22749168,10040360:839891,291271,118620 x1,198:23051363,10040360 (1,198:23051363,10158980:537696,344064,0 x1,198:23520999,10158980 ) ) (1,198:22749168,10933614:839891,291271,118620 x1,198:23051363,10933614 (1,198:23051363,11052234:537696,344064,0 x1,198:23520999,11052234 ) ) ] h1,198:23589059,10484038:78643,0,0 ) ) ) h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 h1,198:23667702,10484038:0,0,0 ) (1,198:2621442,12026487:26033786,0,0 k1,198:15638335,12026487:13016893 k1,198:28655228,12026487:13016893 ) (1,198:2621442,12812919:26033786,512491,215756 (1,198:2621442,12812919:0,291271,0 g1,198:2621442,12812919 g1,198:1638400,12812919 g1,198:1310720,12812919 (1,198:1310720,12812919:983042,291271,0 k1,198:2293762,12812919:983042 (1,198:2293762,12812919:0,291271,0 k1,198:2075309,12812919:-218453 x1,198:2293762,12812919 ) ) g1,198:2621442,12812919 ) x1,198:4806386,12812919 x1,198:5871985,12812919 x1,198:6054168,12812919 g1,198:6279599,12812919 x1,198:7262630,12812919 x1,198:7974998,12812919 g1,198:8157181,12812919 $1,198:8157181,12812919 x1,198:9133954,12812919 (1,198:9133954,12928790:505347,341315,96847 x1,198:9274903,12928790 x1,198:9551746,12928790 ) x1,198:9997121,12812919 [1,198:9997121,13023944:1013074,684508,4731 (1,198:9997121,12575024:470071,235588,0 x1,198:10434424,12575024 ) (1,198:9997121,13023944:1013074,344064,4731 x1,198:10228222,13023944 x1,198:10977427,13023944 ) ] $1,198:11010195,12812919 x1,198:11192378,12812919 g1,198:11417809,12812919 x1,198:11999761,12812919 g1,198:12181944,12812919 $1,198:12181944,12812919 x1,198:12555137,12812919 x1,198:13438053,12812919 x1,198:13797733,12812919 (1,198:13797733,12812919:702308,291271,212718 x1,198:14099928,12812919 (1,198:14099928,12928790:400113,341315,96847 x1,198:14431981,12928790 ) ) x1,198:14781190,12812919 $1,198:14781190,12812919 g1,198:14963373,12812919 x1,198:15346101,12812919 g1,198:15528284,12812919 $1,198:15528284,12812919 x1,198:16270144,12812919 (1,198:16270144,12911222:259164,284615,0 x1,198:16461248,12911222 ) g1,198:16711348,12812919 x1,198:17258566,12812919 g1,198:17440606,12812919 x1,198:18049436,12812919 $1,198:18049436,12812919 g1,198:18231619,12812919 x1,198:19725162,12812919 x1,198:21161697,12812919 g1,198:21343880,12812919 $1,198:21343880,12812919 x1,198:21646075,12812919 (1,198:21646075,12928790:400113,341315,96847 x1,198:21978128,12928790 ) $1,198:22046188,12812919 g1,198:22228371,12812919 x1,198:23321499,12812919 g1,198:23503682,12812919 $1,198:23503682,12812919 x1,198:23922385,12812919 (1,198:23922385,12911222:259164,284615,0 x1,198:24113489,12911222 ) $1,198:24181549,12812919 x1,198:24363732,12812919 g1,198:24545915,12812919 x1,198:25273355,12812919 g1,198:25455538,12812919 $1,198:25455538,12812919 x1,198:25775938,12812919 (1,198:25775938,12911222:259164,284615,0 x1,198:25967042,12911222 ) $1,198:26035102,12812919 g1,198:26217285,12812919 x1,198:27527988,12812919 g1,198:27710171,12812919 k1,198:28182700,12812919:472529 k1,198:28655228,12812919:472528 ) (1,198:9720574,14200914:11835522,779023,1516138 [1,198:9720574,14200914:3172865,779023,1410544 [1,198:9720574,14200914:3172865,779023,867495 (1,198:9720574,14200914:3172865,779023,451343 g1,198:9720574,14200914 (1,198:9720574,14200914:3172865,779023,451343 $1,198:9720574,14200914 g1,198:9720574,14200914 (1,198:9720574,14200914:3172865,779023,451343 (1,198:9720574,14200914:0,779023,451343 $1,198:9720574,14200914 (1,198:9720574,14200914:0,779023,451343 h1,198:9720574,14200914:0,0,0 v1,198:9720574,14200914:0,779023,451343 h1,198:9720574,14200914:0,0,0 ) $1,198:9720574,14200914 ) x1,198:10157482,14200914 (1,198:10157482,14299217:259164,284615,0 x1,198:10348586,14299217 ) g1,198:10598686,14200914 x1,198:11145904,14200914 g1,198:11327944,14200914 x1,198:11635307,14200914 x1,198:12073670,14200914 [1,198:12073670,14364941:819769,804366,0 (1,198:12128016,13862906:765423,302331,112564 x1,198:12853200,13862906 ) (1,198:12073670,14364941:259164,284615,0 x1,198:12264774,14364941 ) ] ) g1,198:12893439,14200914 $1,198:12893439,14200914 ) g1,198:12893439,14200914 ) (1,198:9720574,14927507:3172865,77988,0 g1,198:9720574,14927507 (1,198:9720574,14927507:3172865,77988,0 $1,198:9720574,14927507 x1,198:10031863,14927507 g1,198:10995718,14927507 x1,198:11618296,14927507 g1,198:12582150,14927507 x1,198:12893439,14927507 $1,198:12893439,14927507 ) g1,198:12893439,14927507 ) ] (1,198:9720574,15537708:3172865,359854,8214 k1,198:10365904,15537708:645330 x1,198:12248109,15537708 k1,198:12893439,15537708:645330 ) ] g1,198:13548799,14200914 x1,198:13730844,14200914 g1,198:14386204,14200914 g1,198:14495428,14200914 [1,198:14495428,14200914:7060668,779023,1516138 [1,198:14495428,14200914:7060668,779023,867495 (1,198:14495428,14200914:7060668,779023,451343 g1,198:14495428,14200914 (1,198:14495428,14200914:7060668,779023,451343 $1,198:14495428,14200914 g1,198:14495428,14200914 (1,198:14495428,14200914:7060668,779023,451343 (1,198:14495428,14200914:0,779023,451343 $1,198:14495428,14200914 (1,198:14495428,14200914:0,779023,451343 h1,198:14495428,14200914:0,0,0 v1,198:14495428,14200914:0,779023,451343 h1,198:14495428,14200914:0,0,0 ) $1,198:14495428,14200914 ) x1,198:14932336,14200914 (1,198:14932336,14299217:259164,284615,0 x1,198:15123440,14299217 ) g1,198:15373540,14200914 x1,198:15920758,14200914 g1,198:16102798,14200914 x1,198:16711628,14200914 g1,198:16839056,14200914 x1,198:17386274,14200914 g1,198:17513702,14200914 x1,198:17807303,14200914 x1,198:18548297,14200914 (1,198:18548297,14319534:953582,344064,42834 x1,198:18739401,14319534 x1,198:19469111,14319534 ) g1,198:19629307,14200914 x1,198:20176525,14200914 g1,198:20303953,14200914 x1,198:20624353,14200914 (1,198:20624353,14299217:259164,284615,0 x1,198:20815457,14299217 ) (1,198:20883517,14200914:364376,348648,0 (1,198:20883517,14200914:364376,348648,0 x1,198:21247893,14200914 ) ) [1,198:21247893,14362787:308203,673354,0 (1,198:21247893,13930251:308203,240818,4731 x1,198:21523328,13930251 ) (1,198:21247893,14362787:259164,284615,0 x1,198:21438997,14362787 ) ] ) g1,198:21556096,14200914 $1,198:21556096,14200914 ) g1,198:21556096,14200914 ) (1,198:14495428,14927507:7060668,77988,0 g1,198:14495428,14927507 (1,198:14495428,14927507:7060668,77988,0 $1,198:14495428,14927507 x1,198:14806717,14927507 g1,198:17714473,14927507 x1,198:18337051,14927507 g1,198:21244807,14927507 x1,198:21556096,14927507 $1,198:21556096,14927507 ) g1,198:21556096,14927507 ) ] (1,198:14495428,15544934:7060668,367080,106582 k1,198:17264464,15544934:2769036 x1,198:18787061,15544934 k1,198:21556096,15544934:2769035 ) ] ) ] ] (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 [1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 (1,198:1310720,16782118:27344508,0,0 g1,198:0,16782118 (1,198:0,16782118:29834876,0,0 [1,198:0,16782118:29834876,0,0 (1,198:0,16782118:29834876,0,0 h1,198:0,16782118:0,0,0 $1,198:0,16782118 [1,198:0,16782118:20511477,-133806,-297646 (1,198:0,16411564:20511477,241500,72908 h1,198:0,16411564:0,0,0 k1,198:1305270,16411564:1305270 k1,198:1382640,16411564:77370 k1,198:1452107,16411564:69467 x1,198:2089439,16411564 x1,198:2453815,16411564 x1,198:2611103,16411564 k1,198:2680570,16411564:69467 x1,198:2789686,16411564 k1,198:2859153,16411564:69467 x1,198:3332321,16411564 x1,198:3842189,16411564 x1,198:4443149,16411564 k1,198:4512616,16411564:69467 x1,198:4785895,16411564 k1,198:4855362,16411564:69467 x1,198:5638181,16411564 k1,198:5707648,16411564:69467 x1,198:5980927,16411564 k1,198:6050394,16411564:69467 x1,198:6305326,16411564 k1,198:6374793,16411564:69467 x1,198:7321777,16411564 k1,198:7391244,16411564:69467 k1,198:27902721,16411564:20511477 k1,198:27972188,16411564:69467 x1,198:28154376,16411564 x1,198:28871653,16411564 k1,198:28871653,16411564:0 k1,198:28871653,16411564:0 ) ] $1,198:20511477,16782118 k1,198:29834876,16782118:9323399 g1,198:29834876,16782118 ) ] ) g1,198:28655228,16782118 ) g1,198:28655228,16782118 g1,198:28655228,16782118 ) h1,198:1310720,16782118:0,0,0 ] g1,198:28655228,16782118 ) ) ] ] ] !20208 }6 !10 {7 [1,240:4736286,16782118:23918942,12045832,0 (1,240:4736286,4736286:0,0,0 [1,240:0,4736286:0,0,0 (1,240:0,0:0,0,0 (1,240:0,4736286:0,0,0 k1,240:0,4736286:4736286 ) g1,240:0,0 ) ] ) [1,240:4736286,4736286:0,0,0 (1,240:4736286,-65781:0,0,0 k1,240:4736286,-65781:3491347 ) ] [1,240:4736286,16782118:23918942,12045832,0 [1,240:1310720,16782118:27344508,16782118,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:-1310720,0,0 g1,240:0,0 (1,240:0,0:0,0,0 (1,240:0,16782118:29834876,16782118,0 (1,240:0,16782118:29834876,16782118,0 r1,240:29834876,16782118:29834876,16782118,0 ) ) ) (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 ) ) ) ) (1,240:1310720,0:-2490368,0,0 (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:-1310720,16782118,0 (1,240:1310720,16782118:-1310720,16782118,0 g1,240:0,16782118 (1,240:0,16782118:0,0,0 [1,240:0,16782118:27344508,16782118,0 (1,240:0,16782118:27344508,16782118,0 h1,240:0,16782118:0,0,0 r1,240:0,16782118:0,16782118,0 k1,240:27344508,16782118:27344508 g1,240:27344508,16782118 ) ] ) [1,240:0,16782118:0,16782118,0 ] ) ) ) (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:28524156,16782118,0 (1,240:1310720,16782118:28524156,16782118,0 g1,240:28655228,16782118 g1,240:29834876,16782118 (1,240:29834876,16782118:0,0,0 [1,240:29834876,16782118:27344508,16782118,0 (1,240:29834876,16782118:27344508,16782118,0 h1,240:29834876,16782118:0,0,0 r1,240:29834876,16782118:0,16782118,0 k1,240:57179384,16782118:27344508 g1,240:57179384,16782118 ) ] ) [1,240:29834876,16782118:0,16782118,0 (1,240:29834876,16192294:0,0,0 k1,240:29648397,16192294:-186479 g1,240:29834876,16192294 ) (1,240:29834876,16651046:0,0,0 k1,240:29648397,16651046:-186479 g1,240:29834876,16651046 ) ] ) ) ) (1,240:1310720,0:-2490368,0,0 g1,240:0,0 (1,240:0,0:0,0,0 [1,240:0,0:0,0,0 ] ) g1,240:-1179648,0 ) g1,240:-1179648,0 ) (1,240:1310720,0:27344508,0,0 h1,240:1310720,0:0,0,0 k1,240:28655228,0:27344508 g1,240:28655228,0 ) ] ) ) ] [1,240:1310720,16519974:27344508,16519974,0 [1,240:1310720,16519974:27344508,16519974,0 v1,240:1310720,0:0,0,0 v1,240:1310720,0:0,0,0 (1,240:1310720,1532292:27344508,671408,546490 h1,240:1310720,1532292:0,0,0 g1,240:2056580,1532292 $1,240:2056580,1532292 [1,240:2056580,1532292:14328819,671408,546490 (1,240:2056580,1532292:14328819,671408,357750 x1,240:4285306,1532292 x1,240:6403151,1532292 g1,240:6621771,1532292 x1,240:10248752,1532292 g1,240:10467372,1532292 x1,240:11123243,1532292 g1,240:11341863,1532292 $1,240:11341863,1532292 x1,240:12322527,1532292 g1,240:12453597,1532292 (1,240:12453597,1532292:961866,546132,152916 x1,240:13404768,1532292 ) g1,240:13546533,1532292 x1,240:14269241,1532292 (1,240:14269241,1664468:248980,402708,114688 x1,240:14430803,1664468 ) x1,240:14947605,1532292 [1,240:14947605,1775354:1044578,914470,114688 (1,240:14947605,1246818:335870,385934,0 x1,240:15250707,1246818 ) (1,240:14947605,1775354:1044578,402708,114688 x1,240:15109167,1775354 x1,240:15959415,1775354 ) ] $1,240:15992183,1532292 x1,240:16385399,1532292 ) ] $1,240:16385399,1532292 x1,240:17025458,1532292 k1,240:22840343,1532292:5814885 k1,240:28655228,1532292:5814885 ) v1,240:1310720,2242622:0,0,0 (1,240:2621442,4180612:26033786,473491,145816 (1,240:2621442,4180612:0,291271,0 g1,240:2621442,4180612 g1,240:1638400,4180612 g1,240:1310720,4180612 (1,240:1310720,4180612:983042,291271,0 k1,240:2293762,4180612:983042 (1,240:2293762,4180612:0,291271,0 k1,240:2075309,4180612:-218453 x1,240:2293762,4180612 ) ) g1,240:2621442,4180612 ) x1,240:4297178,4180612 x1,240:4515410,4180612 x1,240:5253985,4180612 g1,240:5436168,4180612 x1,240:7948790,4180612 g1,240:8130973,4180612 x1,240:8677532,4180612 g1,240:8859715,4180612 x1,240:9224091,4180612 g1,240:9406274,4180612 x1,240:10353258,4180612 x1,240:12555240,4180612 g1,240:12737423,4180612 x1,240:14886983,4180612 g1,240:15069166,4180612 x1,240:15579030,4180612 g1,240:15761213,4180612 x1,240:16234381,4180612 x1,240:17827548,4180612 k1,240:23241388,4180612:5413840 k1,240:28655228,4180612:5413840 ) (1,240:4003711,5911774:23269249,819200,491520 x1,240:4463111,5911774 g1,240:4572335,5911774 (1,240:4572335,5911774:821021,455111,127431 x1,240:5384255,5911774 ) g1,240:5502580,5911774 (1,240:5502580,5911774:683675,455111,212718 x1,240:5966796,5911774 (1,240:5966796,6027645:219459,341315,96847 x1,240:6107745,6027645 ) ) g1,240:6368295,5911774 x1,240:6915513,5911774 g1,240:7097553,5911774 (1,240:7097553,5911774:9676394,819200,491520 x1,240:7644771,5911774 g1,240:7772199,5911774 h1,240:7772199,5911774:377480,473170,11796 (1,240:8149679,5911774:500032,416808,177930 (1,240:8149679,5911774:500032,416808,177930 $1,240:8149679,5911774 x1,240:8633328,5911774 $1,240:8649711,5911774 ) ) g1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 $1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 (1,240:8777139,5183997:325713,25558,1153433 x1,240:9102852,5183997 ) v1,240:9102852,5911774:0,779023,451343 h1,240:9102852,5911774:0,0,0 ) $1,240:9102852,5911774 ) (1,240:9102852,5911774:2337281,461700,212718 x1,240:9562252,5911774 g1,240:9671476,5911774 (1,240:9671476,5911774:821021,455111,127431 x1,240:10483396,5911774 ) g1,240:10601721,5911774 (1,240:10601721,5911774:838412,455111,212718 x1,240:11220674,5911774 (1,240:11220674,6027645:219459,341315,96847 x1,240:11361623,6027645 ) ) ) g1,240:11567561,5911774 x1,240:12114779,5911774 g1,240:12242207,5911774 (1,240:12242207,5911774:4206027,819200,491520 (1,240:12242207,5092574:606206,0,1310720 x1,240:12586270,5092574 ) g1,240:12957637,5911774 x1,240:13208858,5911774 (1,240:13208858,6027645:219459,341315,96847 x1,240:13349807,6027645 ) x1,240:13887717,5911774 g1,240:13996941,5911774 (1,240:13996941,5911774:821021,455111,127431 x1,240:14808861,5911774 ) g1,240:14927186,5911774 x1,240:15546139,5911774 (1,240:15546139,6027645:219459,341315,96847 x1,240:15687088,6027645 ) g1,240:15874822,5911774 x1,240:16388188,5911774 ) (1,240:16448234,5911774:325713,779023,451343 $1,240:16448234,5911774 (1,240:16448234,5911774:325713,779023,451343 (1,240:16448234,5183997:325713,25558,1153433 x1,240:16773947,5183997 ) v1,240:16773947,5911774:0,779023,451343 h1,240:16773947,5911774:0,0,0 ) $1,240:16773947,5911774 ) ) g1,240:16901375,5911774 g1,240:17028803,5911774 g1,240:17156231,5911774 x1,240:17703449,5911774 g1,240:17830877,5911774 g1,240:17958305,5911774 g1,240:18085733,5911774 (1,240:18085733,5911774:4981200,779023,451343 (1,240:18085733,5911774:325713,779023,451343 $1,240:18085733,5911774 (1,240:18085733,5911774:325713,779023,451343 (1,240:18085733,5183997:325713,25558,1153433 x1,240:18411446,5183997 ) v1,240:18411446,5911774:0,779023,451343 h1,240:18411446,5911774:0,0,0 ) $1,240:18411446,5911774 ) h1,240:18411446,5911774:316538,466288,6225 h1,240:18727984,5911774:377480,473170,11796 x1,240:19714294,5911774 g1,240:19841722,5911774 x1,240:20388940,5911774 g1,240:20516368,5911774 x1,240:21159931,5911774 g1,240:21287359,5911774 x1,240:21834577,5911774 g1,240:21962005,5911774 x1,240:22731390,5911774 (1,240:22741220,5911774:325713,779023,451343 $1,240:22741220,5911774 (1,240:22741220,5911774:325713,779023,451343 (1,240:22741220,5183997:325713,25558,1153433 x1,240:23066933,5183997 ) v1,240:23066933,5911774:0,779023,451343 h1,240:23066933,5911774:0,0,0 ) $1,240:23066933,5911774 ) ) (1,240:23066933,5911774:4206027,819200,491520 (1,240:23066933,5092574:606206,0,1310720 x1,240:23410996,5092574 ) g1,240:23782363,5911774 x1,240:24033584,5911774 (1,240:24033584,6027645:219459,341315,96847 x1,240:24174533,6027645 ) x1,240:24712443,5911774 g1,240:24821667,5911774 (1,240:24821667,5911774:821021,455111,127431 x1,240:25633587,5911774 ) g1,240:25751912,5911774 x1,240:26370865,5911774 (1,240:26370865,6027645:219459,341315,96847 x1,240:26511814,6027645 ) g1,240:26699548,5911774 x1,240:27212914,5911774 ) h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 ) (1,240:2621442,7025886:26033786,0,0 k1,240:15638335,7025886:13016893 k1,240:28655228,7025886:13016893 ) (1,240:2621442,7812318:26033786,512491,171048 (1,240:2621442,7812318:0,291271,0 g1,240:2621442,7812318 g1,240:1638400,7812318 g1,240:1310720,7812318 (1,240:1310720,7812318:983042,291271,0 k1,240:2293762,7812318:983042 (1,240:2293762,7812318:0,291271,0 k1,240:2075309,7812318:-218453 x1,240:2293762,7812318 ) ) g1,240:2621442,7812318 ) x1,240:4588152,7812318 g1,240:4770335,7812318 x1,240:7282957,7812318 g1,240:7465140,7812318 x1,240:8011699,7812318 g1,240:8193882,7812318 x1,240:8558258,7812318 g1,240:8740441,7812318 x1,240:9687425,7812318 x1,240:11889407,7812318 g1,240:12071590,7812318 x1,240:14221150,7812318 g1,240:14403333,7812318 x1,240:14913197,7812318 g1,240:15095380,7812318 x1,240:15568548,7812318 x1,240:16979532,7812318 g1,240:17161715,7812318 $1,240:17161715,7812318 (1,240:17161715,7812318:3132120,512491,171048 x1,240:17781467,7812318 x1,240:18670865,7812318 g1,240:18905948,7812318 x1,240:19453166,7812318 g1,240:19635206,7812318 (1,240:19635206,7812318:377480,473170,11796 (1,240:19635206,7812318:377480,473170,11796 $1,240:19635206,7812318 x1,240:19973365,7812318 $1,240:20012686,7812318 ) ) x1,240:20293835,7812318 ) $1,240:20293835,7812318 k1,240:24474532,7812318:4180697 k1,240:28655228,7812318:4180696 ) (1,240:4161980,9568712:22952711,819200,491520 x1,240:4621380,9568712 g1,240:4730604,9568712 (1,240:4730604,9568712:821021,455111,127431 x1,240:5542524,9568712 ) g1,240:5660849,9568712 (1,240:5660849,9568712:683675,455111,212718 x1,240:6125065,9568712 (1,240:6125065,9684583:219459,341315,96847 x1,240:6266014,9684583 ) ) g1,240:6526564,9568712 x1,240:7073782,9568712 g1,240:7255822,9568712 (1,240:7255822,9568712:9676394,819200,491520 x1,240:7803040,9568712 g1,240:7930468,9568712 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 $1,240:7930468,9568712 x1,240:8268627,9568712 $1,240:8307948,9568712 ) ) ) ) (1,240:8307948,9568712:500032,416808,177930 (1,240:8307948,9568712:500032,416808,177930 $1,240:8307948,9568712 x1,240:8791597,9568712 $1,240:8807980,9568712 ) ) g1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 $1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 (1,240:8935408,8840935:325713,25558,1153433 x1,240:9261121,8840935 ) v1,240:9261121,9568712:0,779023,451343 h1,240:9261121,9568712:0,0,0 ) $1,240:9261121,9568712 ) (1,240:9261121,9568712:2337281,461700,212718 x1,240:9720521,9568712 g1,240:9829745,9568712 (1,240:9829745,9568712:821021,455111,127431 x1,240:10641665,9568712 ) g1,240:10759990,9568712 (1,240:10759990,9568712:838412,455111,212718 x1,240:11378943,9568712 (1,240:11378943,9684583:219459,341315,96847 x1,240:11519892,9684583 ) ) ) g1,240:11725830,9568712 x1,240:12273048,9568712 g1,240:12400476,9568712 (1,240:12400476,9568712:4206027,819200,491520 (1,240:12400476,8749512:606206,0,1310720 x1,240:12744539,8749512 ) g1,240:13115906,9568712 x1,240:13367127,9568712 (1,240:13367127,9684583:219459,341315,96847 x1,240:13508076,9684583 ) x1,240:14045986,9568712 g1,240:14155210,9568712 (1,240:14155210,9568712:821021,455111,127431 x1,240:14967130,9568712 ) g1,240:15085455,9568712 x1,240:15704408,9568712 (1,240:15704408,9684583:219459,341315,96847 x1,240:15845357,9684583 ) g1,240:16033091,9568712 x1,240:16546457,9568712 ) (1,240:16606503,9568712:325713,779023,451343 $1,240:16606503,9568712 (1,240:16606503,9568712:325713,779023,451343 (1,240:16606503,8840935:325713,25558,1153433 x1,240:16932216,8840935 ) v1,240:16932216,9568712:0,779023,451343 h1,240:16932216,9568712:0,0,0 ) $1,240:16932216,9568712 ) ) g1,240:17059644,9568712 g1,240:17187072,9568712 g1,240:17314500,9568712 x1,240:17861718,9568712 g1,240:17989146,9568712 g1,240:18116574,9568712 g1,240:18244002,9568712 (1,240:18244002,9568712:4664662,779023,451343 (1,240:18244002,9568712:325713,779023,451343 $1,240:18244002,9568712 (1,240:18244002,9568712:325713,779023,451343 (1,240:18244002,8840935:325713,25558,1153433 x1,240:18569715,8840935 ) v1,240:18569715,9568712:0,779023,451343 h1,240:18569715,9568712:0,0,0 ) $1,240:18569715,9568712 ) (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 $1,240:18569715,9568712 x1,240:18907874,9568712 $1,240:18947195,9568712 ) ) ) ) x1,240:19556025,9568712 g1,240:19683453,9568712 x1,240:20230671,9568712 g1,240:20358099,9568712 x1,240:21001662,9568712 g1,240:21129090,9568712 x1,240:21676308,9568712 g1,240:21803736,9568712 x1,240:22573121,9568712 (1,240:22582951,9568712:325713,779023,451343 $1,240:22582951,9568712 (1,240:22582951,9568712:325713,779023,451343 (1,240:22582951,8840935:325713,25558,1153433 x1,240:22908664,8840935 ) v1,240:22908664,9568712:0,779023,451343 h1,240:22908664,9568712:0,0,0 ) $1,240:22908664,9568712 ) ) (1,240:22908664,9568712:4206027,819200,491520 (1,240:22908664,8749512:606206,0,1310720 x1,240:23252727,8749512 ) g1,240:23624094,9568712 x1,240:23875315,9568712 (1,240:23875315,9684583:219459,341315,96847 x1,240:24016264,9684583 ) x1,240:24554174,9568712 g1,240:24663398,9568712 (1,240:24663398,9568712:821021,455111,127431 x1,240:25475318,9568712 ) g1,240:25593643,9568712 x1,240:26212596,9568712 (1,240:26212596,9684583:219459,341315,96847 x1,240:26353545,9684583 ) g1,240:26541279,9568712 x1,240:27054645,9568712 ) h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 ) (1,240:2621442,10682824:26033786,0,0 k1,240:15638335,10682824:13016893 k1,240:28655228,10682824:13016893 ) ] ] (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 [1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 g1,240:0,16782118 (1,240:0,16782118:29834876,0,0 [1,240:0,16782118:29834876,0,0 (1,240:0,16782118:29834876,0,0 h1,240:0,16782118:0,0,0 $1,240:0,16782118 [1,240:0,16782118:20511477,-133806,-297646 (1,240:0,16411564:20511477,241500,72908 h1,240:0,16411564:0,0,0 k1,240:1305270,16411564:1305270 k1,240:1382640,16411564:77370 k1,240:1452107,16411564:69467 x1,240:2089439,16411564 x1,240:2453815,16411564 x1,240:2611103,16411564 k1,240:2680570,16411564:69467 x1,240:2789686,16411564 k1,240:2859153,16411564:69467 x1,240:3332321,16411564 x1,240:3842189,16411564 x1,240:4443149,16411564 k1,240:4512616,16411564:69467 x1,240:4785895,16411564 k1,240:4855362,16411564:69467 x1,240:5638181,16411564 k1,240:5707648,16411564:69467 x1,240:5980927,16411564 k1,240:6050394,16411564:69467 x1,240:6305326,16411564 k1,240:6374793,16411564:69467 x1,240:7321777,16411564 k1,240:7391244,16411564:69467 k1,240:27902721,16411564:20511477 k1,240:27972188,16411564:69467 x1,240:28154376,16411564 x1,240:28871653,16411564 k1,240:28871653,16411564:0 k1,240:28871653,16411564:0 ) ] $1,240:20511477,16782118 k1,240:29834876,16782118:9323399 g1,240:29834876,16782118 ) ] ) g1,240:28655228,16782118 ) g1,240:28655228,16782118 g1,240:28655228,16782118 ) h1,240:1310720,16782118:0,0,0 ] g1,240:28655228,16782118 ) ) ] ] ] !15212 }7 !10 {8 [1,240:4736286,16782118:23918942,12045832,0 (1,240:4736286,4736286:0,0,0 [1,240:0,4736286:0,0,0 (1,240:0,0:0,0,0 (1,240:0,4736286:0,0,0 k1,240:0,4736286:4736286 ) g1,240:0,0 ) ] ) [1,240:4736286,4736286:0,0,0 (1,240:4736286,-65781:0,0,0 k1,240:4736286,-65781:3491347 ) ] [1,240:4736286,16782118:23918942,12045832,0 [1,240:1310720,16782118:27344508,16782118,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:-1310720,0,0 g1,240:0,0 (1,240:0,0:0,0,0 (1,240:0,16782118:29834876,16782118,0 (1,240:0,16782118:29834876,16782118,0 r1,240:29834876,16782118:29834876,16782118,0 ) ) ) (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 ) ) ) ) (1,240:1310720,0:-2490368,0,0 (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:-1310720,16782118,0 (1,240:1310720,16782118:-1310720,16782118,0 g1,240:0,16782118 (1,240:0,16782118:0,0,0 [1,240:0,16782118:27344508,16782118,0 (1,240:0,16782118:27344508,16782118,0 h1,240:0,16782118:0,0,0 r1,240:0,16782118:0,16782118,0 k1,240:27344508,16782118:27344508 g1,240:27344508,16782118 ) ] ) [1,240:0,16782118:0,16782118,0 ] ) ) ) (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:28524156,16782118,0 (1,240:1310720,16782118:28524156,16782118,0 g1,240:28655228,16782118 g1,240:29834876,16782118 (1,240:29834876,16782118:0,0,0 [1,240:29834876,16782118:27344508,16782118,0 (1,240:29834876,16782118:27344508,16782118,0 h1,240:29834876,16782118:0,0,0 r1,240:29834876,16782118:0,16782118,0 k1,240:57179384,16782118:27344508 g1,240:57179384,16782118 ) ] ) [1,240:29834876,16782118:0,16782118,0 (1,240:29834876,16192294:0,0,0 k1,240:29648397,16192294:-186479 g1,240:29834876,16192294 ) (1,240:29834876,16651046:0,0,0 k1,240:29648397,16651046:-186479 g1,240:29834876,16651046 ) ] ) ) ) (1,240:1310720,0:-2490368,0,0 g1,240:0,0 (1,240:0,0:0,0,0 [1,240:0,0:0,0,0 ] ) g1,240:-1179648,0 ) g1,240:-1179648,0 ) (1,240:1310720,0:27344508,0,0 h1,240:1310720,0:0,0,0 k1,240:28655228,0:27344508 g1,240:28655228,0 ) ] ) ) ] [1,240:1310720,16519974:27344508,16519974,0 [1,240:1310720,16519974:27344508,16519974,0 v1,240:1310720,0:0,0,0 v1,240:1310720,0:0,0,0 (1,240:1310720,1532292:27344508,671408,546490 h1,240:1310720,1532292:0,0,0 g1,240:2056580,1532292 $1,240:2056580,1532292 [1,240:2056580,1532292:14328819,671408,546490 (1,240:2056580,1532292:14328819,671408,357750 x1,240:4285306,1532292 x1,240:6403151,1532292 g1,240:6621771,1532292 x1,240:10248752,1532292 g1,240:10467372,1532292 x1,240:11123243,1532292 g1,240:11341863,1532292 $1,240:11341863,1532292 x1,240:12322527,1532292 g1,240:12453597,1532292 (1,240:12453597,1532292:961866,546132,152916 x1,240:13404768,1532292 ) g1,240:13546533,1532292 x1,240:14269241,1532292 (1,240:14269241,1664468:248980,402708,114688 x1,240:14430803,1664468 ) x1,240:14947605,1532292 [1,240:14947605,1775354:1044578,914470,114688 (1,240:14947605,1246818:335870,385934,0 x1,240:15250707,1246818 ) (1,240:14947605,1775354:1044578,402708,114688 x1,240:15109167,1775354 x1,240:15959415,1775354 ) ] $1,240:15992183,1532292 x1,240:16385399,1532292 ) ] $1,240:16385399,1532292 x1,240:17025458,1532292 k1,240:22840343,1532292:5814885 k1,240:28655228,1532292:5814885 ) v1,240:1310720,2242622:0,0,0 (1,240:2621442,4180612:26033786,473491,145816 (1,240:2621442,4180612:0,291271,0 g1,240:2621442,4180612 g1,240:1638400,4180612 g1,240:1310720,4180612 (1,240:1310720,4180612:983042,291271,0 k1,240:2293762,4180612:983042 (1,240:2293762,4180612:0,291271,0 k1,240:2075309,4180612:-218453 x1,240:2293762,4180612 ) ) g1,240:2621442,4180612 ) x1,240:4297178,4180612 x1,240:4515410,4180612 x1,240:5253985,4180612 g1,240:5436168,4180612 x1,240:7948790,4180612 g1,240:8130973,4180612 x1,240:8677532,4180612 g1,240:8859715,4180612 x1,240:9224091,4180612 g1,240:9406274,4180612 x1,240:10353258,4180612 x1,240:12555240,4180612 g1,240:12737423,4180612 x1,240:14886983,4180612 g1,240:15069166,4180612 x1,240:15579030,4180612 g1,240:15761213,4180612 x1,240:16234381,4180612 x1,240:17827548,4180612 k1,240:23241388,4180612:5413840 k1,240:28655228,4180612:5413840 ) (1,240:4003711,5911774:23269249,819200,491520 x1,240:4463111,5911774 g1,240:4572335,5911774 (1,240:4572335,5911774:821021,455111,127431 x1,240:5384255,5911774 ) g1,240:5502580,5911774 (1,240:5502580,5911774:683675,455111,212718 x1,240:5966796,5911774 (1,240:5966796,6027645:219459,341315,96847 x1,240:6107745,6027645 ) ) g1,240:6368295,5911774 x1,240:6915513,5911774 g1,240:7097553,5911774 (1,240:7097553,5911774:9676394,819200,491520 x1,240:7644771,5911774 g1,240:7772199,5911774 h1,240:7772199,5911774:377480,473170,11796 (1,240:8149679,5911774:500032,416808,177930 (1,240:8149679,5911774:500032,416808,177930 $1,240:8149679,5911774 x1,240:8633328,5911774 $1,240:8649711,5911774 ) ) g1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 $1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 (1,240:8777139,5183997:325713,25558,1153433 x1,240:9102852,5183997 ) v1,240:9102852,5911774:0,779023,451343 h1,240:9102852,5911774:0,0,0 ) $1,240:9102852,5911774 ) (1,240:9102852,5911774:2337281,461700,212718 x1,240:9562252,5911774 g1,240:9671476,5911774 (1,240:9671476,5911774:821021,455111,127431 x1,240:10483396,5911774 ) g1,240:10601721,5911774 (1,240:10601721,5911774:838412,455111,212718 x1,240:11220674,5911774 (1,240:11220674,6027645:219459,341315,96847 x1,240:11361623,6027645 ) ) ) g1,240:11567561,5911774 x1,240:12114779,5911774 g1,240:12242207,5911774 (1,240:12242207,5911774:4206027,819200,491520 (1,240:12242207,5092574:606206,0,1310720 x1,240:12586270,5092574 ) g1,240:12957637,5911774 x1,240:13208858,5911774 (1,240:13208858,6027645:219459,341315,96847 x1,240:13349807,6027645 ) x1,240:13887717,5911774 g1,240:13996941,5911774 (1,240:13996941,5911774:821021,455111,127431 x1,240:14808861,5911774 ) g1,240:14927186,5911774 x1,240:15546139,5911774 (1,240:15546139,6027645:219459,341315,96847 x1,240:15687088,6027645 ) g1,240:15874822,5911774 x1,240:16388188,5911774 ) (1,240:16448234,5911774:325713,779023,451343 $1,240:16448234,5911774 (1,240:16448234,5911774:325713,779023,451343 (1,240:16448234,5183997:325713,25558,1153433 x1,240:16773947,5183997 ) v1,240:16773947,5911774:0,779023,451343 h1,240:16773947,5911774:0,0,0 ) $1,240:16773947,5911774 ) ) g1,240:16901375,5911774 g1,240:17028803,5911774 g1,240:17156231,5911774 x1,240:17703449,5911774 g1,240:17830877,5911774 g1,240:17958305,5911774 g1,240:18085733,5911774 (1,240:18085733,5911774:4981200,779023,451343 (1,240:18085733,5911774:325713,779023,451343 $1,240:18085733,5911774 (1,240:18085733,5911774:325713,779023,451343 (1,240:18085733,5183997:325713,25558,1153433 x1,240:18411446,5183997 ) v1,240:18411446,5911774:0,779023,451343 h1,240:18411446,5911774:0,0,0 ) $1,240:18411446,5911774 ) h1,240:18411446,5911774:316538,466288,6225 h1,240:18727984,5911774:377480,473170,11796 x1,240:19714294,5911774 g1,240:19841722,5911774 x1,240:20388940,5911774 g1,240:20516368,5911774 x1,240:21159931,5911774 g1,240:21287359,5911774 x1,240:21834577,5911774 g1,240:21962005,5911774 x1,240:22731390,5911774 (1,240:22741220,5911774:325713,779023,451343 $1,240:22741220,5911774 (1,240:22741220,5911774:325713,779023,451343 (1,240:22741220,5183997:325713,25558,1153433 x1,240:23066933,5183997 ) v1,240:23066933,5911774:0,779023,451343 h1,240:23066933,5911774:0,0,0 ) $1,240:23066933,5911774 ) ) (1,240:23066933,5911774:4206027,819200,491520 (1,240:23066933,5092574:606206,0,1310720 x1,240:23410996,5092574 ) g1,240:23782363,5911774 x1,240:24033584,5911774 (1,240:24033584,6027645:219459,341315,96847 x1,240:24174533,6027645 ) x1,240:24712443,5911774 g1,240:24821667,5911774 (1,240:24821667,5911774:821021,455111,127431 x1,240:25633587,5911774 ) g1,240:25751912,5911774 x1,240:26370865,5911774 (1,240:26370865,6027645:219459,341315,96847 x1,240:26511814,6027645 ) g1,240:26699548,5911774 x1,240:27212914,5911774 ) h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 ) (1,240:2621442,7025886:26033786,0,0 k1,240:15638335,7025886:13016893 k1,240:28655228,7025886:13016893 ) (1,240:2621442,7812318:26033786,512491,171048 (1,240:2621442,7812318:0,291271,0 g1,240:2621442,7812318 g1,240:1638400,7812318 g1,240:1310720,7812318 (1,240:1310720,7812318:983042,291271,0 k1,240:2293762,7812318:983042 (1,240:2293762,7812318:0,291271,0 k1,240:2075309,7812318:-218453 x1,240:2293762,7812318 ) ) g1,240:2621442,7812318 ) x1,240:4588152,7812318 g1,240:4770335,7812318 x1,240:7282957,7812318 g1,240:7465140,7812318 x1,240:8011699,7812318 g1,240:8193882,7812318 x1,240:8558258,7812318 g1,240:8740441,7812318 x1,240:9687425,7812318 x1,240:11889407,7812318 g1,240:12071590,7812318 x1,240:14221150,7812318 g1,240:14403333,7812318 x1,240:14913197,7812318 g1,240:15095380,7812318 x1,240:15568548,7812318 x1,240:16979532,7812318 g1,240:17161715,7812318 $1,240:17161715,7812318 (1,240:17161715,7812318:3132120,512491,171048 x1,240:17781467,7812318 x1,240:18670865,7812318 g1,240:18905948,7812318 x1,240:19453166,7812318 g1,240:19635206,7812318 (1,240:19635206,7812318:377480,473170,11796 (1,240:19635206,7812318:377480,473170,11796 $1,240:19635206,7812318 x1,240:19973365,7812318 $1,240:20012686,7812318 ) ) x1,240:20293835,7812318 ) $1,240:20293835,7812318 k1,240:24474532,7812318:4180697 k1,240:28655228,7812318:4180696 ) (1,240:4161980,9568712:22952711,819200,491520 x1,240:4621380,9568712 g1,240:4730604,9568712 (1,240:4730604,9568712:821021,455111,127431 x1,240:5542524,9568712 ) g1,240:5660849,9568712 (1,240:5660849,9568712:683675,455111,212718 x1,240:6125065,9568712 (1,240:6125065,9684583:219459,341315,96847 x1,240:6266014,9684583 ) ) g1,240:6526564,9568712 x1,240:7073782,9568712 g1,240:7255822,9568712 (1,240:7255822,9568712:9676394,819200,491520 x1,240:7803040,9568712 g1,240:7930468,9568712 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 $1,240:7930468,9568712 x1,240:8268627,9568712 $1,240:8307948,9568712 ) ) ) ) (1,240:8307948,9568712:500032,416808,177930 (1,240:8307948,9568712:500032,416808,177930 $1,240:8307948,9568712 x1,240:8791597,9568712 $1,240:8807980,9568712 ) ) g1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 $1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 (1,240:8935408,8840935:325713,25558,1153433 x1,240:9261121,8840935 ) v1,240:9261121,9568712:0,779023,451343 h1,240:9261121,9568712:0,0,0 ) $1,240:9261121,9568712 ) (1,240:9261121,9568712:2337281,461700,212718 x1,240:9720521,9568712 g1,240:9829745,9568712 (1,240:9829745,9568712:821021,455111,127431 x1,240:10641665,9568712 ) g1,240:10759990,9568712 (1,240:10759990,9568712:838412,455111,212718 x1,240:11378943,9568712 (1,240:11378943,9684583:219459,341315,96847 x1,240:11519892,9684583 ) ) ) g1,240:11725830,9568712 x1,240:12273048,9568712 g1,240:12400476,9568712 (1,240:12400476,9568712:4206027,819200,491520 (1,240:12400476,8749512:606206,0,1310720 x1,240:12744539,8749512 ) g1,240:13115906,9568712 x1,240:13367127,9568712 (1,240:13367127,9684583:219459,341315,96847 x1,240:13508076,9684583 ) x1,240:14045986,9568712 g1,240:14155210,9568712 (1,240:14155210,9568712:821021,455111,127431 x1,240:14967130,9568712 ) g1,240:15085455,9568712 x1,240:15704408,9568712 (1,240:15704408,9684583:219459,341315,96847 x1,240:15845357,9684583 ) g1,240:16033091,9568712 x1,240:16546457,9568712 ) (1,240:16606503,9568712:325713,779023,451343 $1,240:16606503,9568712 (1,240:16606503,9568712:325713,779023,451343 (1,240:16606503,8840935:325713,25558,1153433 x1,240:16932216,8840935 ) v1,240:16932216,9568712:0,779023,451343 h1,240:16932216,9568712:0,0,0 ) $1,240:16932216,9568712 ) ) g1,240:17059644,9568712 g1,240:17187072,9568712 g1,240:17314500,9568712 x1,240:17861718,9568712 g1,240:17989146,9568712 g1,240:18116574,9568712 g1,240:18244002,9568712 (1,240:18244002,9568712:4664662,779023,451343 (1,240:18244002,9568712:325713,779023,451343 $1,240:18244002,9568712 (1,240:18244002,9568712:325713,779023,451343 (1,240:18244002,8840935:325713,25558,1153433 x1,240:18569715,8840935 ) v1,240:18569715,9568712:0,779023,451343 h1,240:18569715,9568712:0,0,0 ) $1,240:18569715,9568712 ) (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 $1,240:18569715,9568712 x1,240:18907874,9568712 $1,240:18947195,9568712 ) ) ) ) x1,240:19556025,9568712 g1,240:19683453,9568712 x1,240:20230671,9568712 g1,240:20358099,9568712 x1,240:21001662,9568712 g1,240:21129090,9568712 x1,240:21676308,9568712 g1,240:21803736,9568712 x1,240:22573121,9568712 (1,240:22582951,9568712:325713,779023,451343 $1,240:22582951,9568712 (1,240:22582951,9568712:325713,779023,451343 (1,240:22582951,8840935:325713,25558,1153433 x1,240:22908664,8840935 ) v1,240:22908664,9568712:0,779023,451343 h1,240:22908664,9568712:0,0,0 ) $1,240:22908664,9568712 ) ) (1,240:22908664,9568712:4206027,819200,491520 (1,240:22908664,8749512:606206,0,1310720 x1,240:23252727,8749512 ) g1,240:23624094,9568712 x1,240:23875315,9568712 (1,240:23875315,9684583:219459,341315,96847 x1,240:24016264,9684583 ) x1,240:24554174,9568712 g1,240:24663398,9568712 (1,240:24663398,9568712:821021,455111,127431 x1,240:25475318,9568712 ) g1,240:25593643,9568712 x1,240:26212596,9568712 (1,240:26212596,9684583:219459,341315,96847 x1,240:26353545,9684583 ) g1,240:26541279,9568712 x1,240:27054645,9568712 ) h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 ) (1,240:2621442,10682824:26033786,0,0 k1,240:15638335,10682824:13016893 k1,240:28655228,10682824:13016893 ) ] ] (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 [1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 g1,240:0,16782118 (1,240:0,16782118:29834876,0,0 [1,240:0,16782118:29834876,0,0 (1,240:0,16782118:29834876,0,0 h1,240:0,16782118:0,0,0 $1,240:0,16782118 [1,240:0,16782118:20511477,-133806,-297646 (1,240:0,16411564:20511477,241500,72908 h1,240:0,16411564:0,0,0 k1,240:1305270,16411564:1305270 k1,240:1382640,16411564:77370 k1,240:1452107,16411564:69467 x1,240:2089439,16411564 x1,240:2453815,16411564 x1,240:2611103,16411564 k1,240:2680570,16411564:69467 x1,240:2789686,16411564 k1,240:2859153,16411564:69467 x1,240:3332321,16411564 x1,240:3842189,16411564 x1,240:4443149,16411564 k1,240:4512616,16411564:69467 x1,240:4785895,16411564 k1,240:4855362,16411564:69467 x1,240:5638181,16411564 k1,240:5707648,16411564:69467 x1,240:5980927,16411564 k1,240:6050394,16411564:69467 x1,240:6305326,16411564 k1,240:6374793,16411564:69467 x1,240:7321777,16411564 k1,240:7391244,16411564:69467 k1,240:27902721,16411564:20511477 k1,240:27972188,16411564:69467 x1,240:28154376,16411564 x1,240:28871653,16411564 k1,240:28871653,16411564:0 k1,240:28871653,16411564:0 ) ] $1,240:20511477,16782118 k1,240:29834876,16782118:9323399 g1,240:29834876,16782118 ) ] ) g1,240:28655228,16782118 ) g1,240:28655228,16782118 g1,240:28655228,16782118 ) h1,240:1310720,16782118:0,0,0 ] g1,240:28655228,16782118 ) ) ] ] ] !15332 }8 !10 {9 [1,240:4736286,16782118:23918942,12045832,0 (1,240:4736286,4736286:0,0,0 [1,240:0,4736286:0,0,0 (1,240:0,0:0,0,0 (1,240:0,4736286:0,0,0 k1,240:0,4736286:4736286 ) g1,240:0,0 ) ] ) [1,240:4736286,4736286:0,0,0 (1,240:4736286,-65781:0,0,0 k1,240:4736286,-65781:3491347 ) ] [1,240:4736286,16782118:23918942,12045832,0 [1,240:1310720,16782118:27344508,16782118,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:-1310720,0,0 g1,240:0,0 (1,240:0,0:0,0,0 (1,240:0,16782118:29834876,16782118,0 (1,240:0,16782118:29834876,16782118,0 r1,240:29834876,16782118:29834876,16782118,0 ) ) ) (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 ) ) ) ) (1,240:1310720,0:-2490368,0,0 (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:-1310720,16782118,0 (1,240:1310720,16782118:-1310720,16782118,0 g1,240:0,16782118 (1,240:0,16782118:0,0,0 [1,240:0,16782118:27344508,16782118,0 (1,240:0,16782118:27344508,16782118,0 h1,240:0,16782118:0,0,0 r1,240:0,16782118:0,16782118,0 k1,240:27344508,16782118:27344508 g1,240:27344508,16782118 ) ] ) [1,240:0,16782118:0,16782118,0 ] ) ) ) (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:28524156,16782118,0 (1,240:1310720,16782118:28524156,16782118,0 g1,240:28655228,16782118 g1,240:29834876,16782118 (1,240:29834876,16782118:0,0,0 [1,240:29834876,16782118:27344508,16782118,0 (1,240:29834876,16782118:27344508,16782118,0 h1,240:29834876,16782118:0,0,0 r1,240:29834876,16782118:0,16782118,0 k1,240:57179384,16782118:27344508 g1,240:57179384,16782118 ) ] ) [1,240:29834876,16782118:0,16782118,0 (1,240:29834876,16192294:0,0,0 k1,240:29648397,16192294:-186479 g1,240:29834876,16192294 ) (1,240:29834876,16651046:0,0,0 k1,240:29648397,16651046:-186479 g1,240:29834876,16651046 ) ] ) ) ) (1,240:1310720,0:-2490368,0,0 g1,240:0,0 (1,240:0,0:0,0,0 [1,240:0,0:0,0,0 ] ) g1,240:-1179648,0 ) g1,240:-1179648,0 ) (1,240:1310720,0:27344508,0,0 h1,240:1310720,0:0,0,0 k1,240:28655228,0:27344508 g1,240:28655228,0 ) ] ) ) ] [1,240:1310720,16519974:27344508,16519974,0 [1,240:1310720,16519974:27344508,16519974,0 v1,240:1310720,0:0,0,0 v1,240:1310720,0:0,0,0 (1,240:1310720,1532292:27344508,671408,546490 h1,240:1310720,1532292:0,0,0 g1,240:2056580,1532292 $1,240:2056580,1532292 [1,240:2056580,1532292:14328819,671408,546490 (1,240:2056580,1532292:14328819,671408,357750 x1,240:4285306,1532292 x1,240:6403151,1532292 g1,240:6621771,1532292 x1,240:10248752,1532292 g1,240:10467372,1532292 x1,240:11123243,1532292 g1,240:11341863,1532292 $1,240:11341863,1532292 x1,240:12322527,1532292 g1,240:12453597,1532292 (1,240:12453597,1532292:961866,546132,152916 x1,240:13404768,1532292 ) g1,240:13546533,1532292 x1,240:14269241,1532292 (1,240:14269241,1664468:248980,402708,114688 x1,240:14430803,1664468 ) x1,240:14947605,1532292 [1,240:14947605,1775354:1044578,914470,114688 (1,240:14947605,1246818:335870,385934,0 x1,240:15250707,1246818 ) (1,240:14947605,1775354:1044578,402708,114688 x1,240:15109167,1775354 x1,240:15959415,1775354 ) ] $1,240:15992183,1532292 x1,240:16385399,1532292 ) ] $1,240:16385399,1532292 x1,240:17025458,1532292 k1,240:22840343,1532292:5814885 k1,240:28655228,1532292:5814885 ) v1,240:1310720,2242622:0,0,0 (1,240:2621442,4180612:26033786,473491,145816 (1,240:2621442,4180612:0,291271,0 g1,240:2621442,4180612 g1,240:1638400,4180612 g1,240:1310720,4180612 (1,240:1310720,4180612:983042,291271,0 k1,240:2293762,4180612:983042 (1,240:2293762,4180612:0,291271,0 k1,240:2075309,4180612:-218453 x1,240:2293762,4180612 ) ) g1,240:2621442,4180612 ) x1,240:4297178,4180612 x1,240:4515410,4180612 x1,240:5253985,4180612 g1,240:5436168,4180612 x1,240:7948790,4180612 g1,240:8130973,4180612 x1,240:8677532,4180612 g1,240:8859715,4180612 x1,240:9224091,4180612 g1,240:9406274,4180612 x1,240:10353258,4180612 x1,240:12555240,4180612 g1,240:12737423,4180612 x1,240:14886983,4180612 g1,240:15069166,4180612 x1,240:15579030,4180612 g1,240:15761213,4180612 x1,240:16234381,4180612 x1,240:17827548,4180612 k1,240:23241388,4180612:5413840 k1,240:28655228,4180612:5413840 ) (1,240:4003711,5911774:23269249,819200,491520 x1,240:4463111,5911774 g1,240:4572335,5911774 (1,240:4572335,5911774:821021,455111,127431 x1,240:5384255,5911774 ) g1,240:5502580,5911774 (1,240:5502580,5911774:683675,455111,212718 x1,240:5966796,5911774 (1,240:5966796,6027645:219459,341315,96847 x1,240:6107745,6027645 ) ) g1,240:6368295,5911774 x1,240:6915513,5911774 g1,240:7097553,5911774 (1,240:7097553,5911774:9676394,819200,491520 x1,240:7644771,5911774 g1,240:7772199,5911774 h1,240:7772199,5911774:377480,473170,11796 (1,240:8149679,5911774:500032,416808,177930 (1,240:8149679,5911774:500032,416808,177930 $1,240:8149679,5911774 x1,240:8633328,5911774 $1,240:8649711,5911774 ) ) g1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 $1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 (1,240:8777139,5183997:325713,25558,1153433 x1,240:9102852,5183997 ) v1,240:9102852,5911774:0,779023,451343 h1,240:9102852,5911774:0,0,0 ) $1,240:9102852,5911774 ) (1,240:9102852,5911774:2337281,461700,212718 x1,240:9562252,5911774 g1,240:9671476,5911774 (1,240:9671476,5911774:821021,455111,127431 x1,240:10483396,5911774 ) g1,240:10601721,5911774 (1,240:10601721,5911774:838412,455111,212718 x1,240:11220674,5911774 (1,240:11220674,6027645:219459,341315,96847 x1,240:11361623,6027645 ) ) ) g1,240:11567561,5911774 x1,240:12114779,5911774 g1,240:12242207,5911774 (1,240:12242207,5911774:4206027,819200,491520 (1,240:12242207,5092574:606206,0,1310720 x1,240:12586270,5092574 ) g1,240:12957637,5911774 x1,240:13208858,5911774 (1,240:13208858,6027645:219459,341315,96847 x1,240:13349807,6027645 ) x1,240:13887717,5911774 g1,240:13996941,5911774 (1,240:13996941,5911774:821021,455111,127431 x1,240:14808861,5911774 ) g1,240:14927186,5911774 x1,240:15546139,5911774 (1,240:15546139,6027645:219459,341315,96847 x1,240:15687088,6027645 ) g1,240:15874822,5911774 x1,240:16388188,5911774 ) (1,240:16448234,5911774:325713,779023,451343 $1,240:16448234,5911774 (1,240:16448234,5911774:325713,779023,451343 (1,240:16448234,5183997:325713,25558,1153433 x1,240:16773947,5183997 ) v1,240:16773947,5911774:0,779023,451343 h1,240:16773947,5911774:0,0,0 ) $1,240:16773947,5911774 ) ) g1,240:16901375,5911774 g1,240:17028803,5911774 g1,240:17156231,5911774 x1,240:17703449,5911774 g1,240:17830877,5911774 g1,240:17958305,5911774 g1,240:18085733,5911774 (1,240:18085733,5911774:4981200,779023,451343 (1,240:18085733,5911774:325713,779023,451343 $1,240:18085733,5911774 (1,240:18085733,5911774:325713,779023,451343 (1,240:18085733,5183997:325713,25558,1153433 x1,240:18411446,5183997 ) v1,240:18411446,5911774:0,779023,451343 h1,240:18411446,5911774:0,0,0 ) $1,240:18411446,5911774 ) h1,240:18411446,5911774:316538,466288,6225 h1,240:18727984,5911774:377480,473170,11796 x1,240:19714294,5911774 g1,240:19841722,5911774 x1,240:20388940,5911774 g1,240:20516368,5911774 x1,240:21159931,5911774 g1,240:21287359,5911774 x1,240:21834577,5911774 g1,240:21962005,5911774 x1,240:22731390,5911774 (1,240:22741220,5911774:325713,779023,451343 $1,240:22741220,5911774 (1,240:22741220,5911774:325713,779023,451343 (1,240:22741220,5183997:325713,25558,1153433 x1,240:23066933,5183997 ) v1,240:23066933,5911774:0,779023,451343 h1,240:23066933,5911774:0,0,0 ) $1,240:23066933,5911774 ) ) (1,240:23066933,5911774:4206027,819200,491520 (1,240:23066933,5092574:606206,0,1310720 x1,240:23410996,5092574 ) g1,240:23782363,5911774 x1,240:24033584,5911774 (1,240:24033584,6027645:219459,341315,96847 x1,240:24174533,6027645 ) x1,240:24712443,5911774 g1,240:24821667,5911774 (1,240:24821667,5911774:821021,455111,127431 x1,240:25633587,5911774 ) g1,240:25751912,5911774 x1,240:26370865,5911774 (1,240:26370865,6027645:219459,341315,96847 x1,240:26511814,6027645 ) g1,240:26699548,5911774 x1,240:27212914,5911774 ) h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 ) (1,240:2621442,7025886:26033786,0,0 k1,240:15638335,7025886:13016893 k1,240:28655228,7025886:13016893 ) (1,240:2621442,7812318:26033786,512491,171048 (1,240:2621442,7812318:0,291271,0 g1,240:2621442,7812318 g1,240:1638400,7812318 g1,240:1310720,7812318 (1,240:1310720,7812318:983042,291271,0 k1,240:2293762,7812318:983042 (1,240:2293762,7812318:0,291271,0 k1,240:2075309,7812318:-218453 x1,240:2293762,7812318 ) ) g1,240:2621442,7812318 ) x1,240:4588152,7812318 g1,240:4770335,7812318 x1,240:7282957,7812318 g1,240:7465140,7812318 x1,240:8011699,7812318 g1,240:8193882,7812318 x1,240:8558258,7812318 g1,240:8740441,7812318 x1,240:9687425,7812318 x1,240:11889407,7812318 g1,240:12071590,7812318 x1,240:14221150,7812318 g1,240:14403333,7812318 x1,240:14913197,7812318 g1,240:15095380,7812318 x1,240:15568548,7812318 x1,240:16979532,7812318 g1,240:17161715,7812318 $1,240:17161715,7812318 (1,240:17161715,7812318:3132120,512491,171048 x1,240:17781467,7812318 x1,240:18670865,7812318 g1,240:18905948,7812318 x1,240:19453166,7812318 g1,240:19635206,7812318 (1,240:19635206,7812318:377480,473170,11796 (1,240:19635206,7812318:377480,473170,11796 $1,240:19635206,7812318 x1,240:19973365,7812318 $1,240:20012686,7812318 ) ) x1,240:20293835,7812318 ) $1,240:20293835,7812318 k1,240:24474532,7812318:4180697 k1,240:28655228,7812318:4180696 ) (1,240:4161980,9568712:22952711,819200,491520 x1,240:4621380,9568712 g1,240:4730604,9568712 (1,240:4730604,9568712:821021,455111,127431 x1,240:5542524,9568712 ) g1,240:5660849,9568712 (1,240:5660849,9568712:683675,455111,212718 x1,240:6125065,9568712 (1,240:6125065,9684583:219459,341315,96847 x1,240:6266014,9684583 ) ) g1,240:6526564,9568712 x1,240:7073782,9568712 g1,240:7255822,9568712 (1,240:7255822,9568712:9676394,819200,491520 x1,240:7803040,9568712 g1,240:7930468,9568712 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 $1,240:7930468,9568712 x1,240:8268627,9568712 $1,240:8307948,9568712 ) ) ) ) (1,240:8307948,9568712:500032,416808,177930 (1,240:8307948,9568712:500032,416808,177930 $1,240:8307948,9568712 x1,240:8791597,9568712 $1,240:8807980,9568712 ) ) g1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 $1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 (1,240:8935408,8840935:325713,25558,1153433 x1,240:9261121,8840935 ) v1,240:9261121,9568712:0,779023,451343 h1,240:9261121,9568712:0,0,0 ) $1,240:9261121,9568712 ) (1,240:9261121,9568712:2337281,461700,212718 x1,240:9720521,9568712 g1,240:9829745,9568712 (1,240:9829745,9568712:821021,455111,127431 x1,240:10641665,9568712 ) g1,240:10759990,9568712 (1,240:10759990,9568712:838412,455111,212718 x1,240:11378943,9568712 (1,240:11378943,9684583:219459,341315,96847 x1,240:11519892,9684583 ) ) ) g1,240:11725830,9568712 x1,240:12273048,9568712 g1,240:12400476,9568712 (1,240:12400476,9568712:4206027,819200,491520 (1,240:12400476,8749512:606206,0,1310720 x1,240:12744539,8749512 ) g1,240:13115906,9568712 x1,240:13367127,9568712 (1,240:13367127,9684583:219459,341315,96847 x1,240:13508076,9684583 ) x1,240:14045986,9568712 g1,240:14155210,9568712 (1,240:14155210,9568712:821021,455111,127431 x1,240:14967130,9568712 ) g1,240:15085455,9568712 x1,240:15704408,9568712 (1,240:15704408,9684583:219459,341315,96847 x1,240:15845357,9684583 ) g1,240:16033091,9568712 x1,240:16546457,9568712 ) (1,240:16606503,9568712:325713,779023,451343 $1,240:16606503,9568712 (1,240:16606503,9568712:325713,779023,451343 (1,240:16606503,8840935:325713,25558,1153433 x1,240:16932216,8840935 ) v1,240:16932216,9568712:0,779023,451343 h1,240:16932216,9568712:0,0,0 ) $1,240:16932216,9568712 ) ) g1,240:17059644,9568712 g1,240:17187072,9568712 g1,240:17314500,9568712 x1,240:17861718,9568712 g1,240:17989146,9568712 g1,240:18116574,9568712 g1,240:18244002,9568712 (1,240:18244002,9568712:4664662,779023,451343 (1,240:18244002,9568712:325713,779023,451343 $1,240:18244002,9568712 (1,240:18244002,9568712:325713,779023,451343 (1,240:18244002,8840935:325713,25558,1153433 x1,240:18569715,8840935 ) v1,240:18569715,9568712:0,779023,451343 h1,240:18569715,9568712:0,0,0 ) $1,240:18569715,9568712 ) (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 $1,240:18569715,9568712 x1,240:18907874,9568712 $1,240:18947195,9568712 ) ) ) ) x1,240:19556025,9568712 g1,240:19683453,9568712 x1,240:20230671,9568712 g1,240:20358099,9568712 x1,240:21001662,9568712 g1,240:21129090,9568712 x1,240:21676308,9568712 g1,240:21803736,9568712 x1,240:22573121,9568712 (1,240:22582951,9568712:325713,779023,451343 $1,240:22582951,9568712 (1,240:22582951,9568712:325713,779023,451343 (1,240:22582951,8840935:325713,25558,1153433 x1,240:22908664,8840935 ) v1,240:22908664,9568712:0,779023,451343 h1,240:22908664,9568712:0,0,0 ) $1,240:22908664,9568712 ) ) (1,240:22908664,9568712:4206027,819200,491520 (1,240:22908664,8749512:606206,0,1310720 x1,240:23252727,8749512 ) g1,240:23624094,9568712 x1,240:23875315,9568712 (1,240:23875315,9684583:219459,341315,96847 x1,240:24016264,9684583 ) x1,240:24554174,9568712 g1,240:24663398,9568712 (1,240:24663398,9568712:821021,455111,127431 x1,240:25475318,9568712 ) g1,240:25593643,9568712 x1,240:26212596,9568712 (1,240:26212596,9684583:219459,341315,96847 x1,240:26353545,9684583 ) g1,240:26541279,9568712 x1,240:27054645,9568712 ) h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 ) (1,240:2621442,10682824:26033786,0,0 k1,240:15638335,10682824:13016893 k1,240:28655228,10682824:13016893 ) (1,240:1310720,11874954:27344508,483000,136640 g1,240:2056580,11874954 x1,240:3476732,11874954 g1,240:3658915,11874954 x1,240:5079714,11874954 g1,240:5261897,11874954 x1,240:5808456,11874954 g1,240:5990639,11874954 x1,240:8138886,11874954 g1,240:8321069,11874954 k1,240:18488149,11874954:10167080 k1,240:28655229,11874954:10167080 ) (1,240:2621442,13067084:26033786,483000,276886 (1,240:2621442,13067084:0,291271,0 g1,240:2621442,13067084 g1,240:1638400,13067084 g1,240:1310720,13067084 (1,240:1310720,13067084:983042,291271,0 k1,240:2293762,13067084:983042 (1,240:2293762,13067084:0,291271,0 k1,240:2075309,13067084:-218453 x1,240:2293762,13067084 ) ) g1,240:2621442,13067084 ) x1,240:4078946,13067084 g1,240:4261129,13067084 x1,240:4479361,13067084 x1,240:5217936,13067084 g1,240:5400119,13067084 x1,240:5764495,13067084 x1,240:6128871,13067084 x1,240:7311133,13067084 g1,240:7493316,13067084 x1,240:8039875,13067084 g1,240:8222058,13067084 x1,240:9678906,13067084 g1,240:9861089,13067084 x1,240:11354632,13067084 x1,240:13155543,13067084 g1,240:13337726,13067084 x1,240:13702102,13067084 x1,240:14016678,13067084 g1,240:14198861,13067084 $1,240:14198861,13067084 (1,240:14198861,13067084:500032,416808,177930 (1,240:14198861,13067084:500032,416808,177930 (1,240:14198861,13067084:500032,416808,177930 $1,240:14198861,13067084 x1,240:14682510,13067084 $1,240:14698893,13067084 ) ) ) $1,240:14698893,13067084 x1,240:14881076,13067084 g1,240:15063259,13067084 x1,240:16082986,13067084 g1,240:16265169,13067084 x1,240:16738337,13067084 g1,240:16920520,13067084 $1,240:16920520,13067084 [1,240:16920520,13067084:1456848,473491,276886 (1,240:16920520,13067084:1456848,473491,145816 x1,240:18377368,13067084 ) ] $1,240:18377368,13067084 g1,240:18559551,13067084 x1,240:20197935,13067084 g1,240:20380118,13067084 x1,240:23038885,13067084 g1,240:23221068,13067084 x1,240:24896804,13067084 g1,240:25078987,13067084 k1,240:26867108,13067084:1788121 k1,240:28655228,13067084:1788120 ) (1,240:2621442,14226446:26033786,483000,145816 (1,240:2621442,14226446:0,291271,0 g1,240:2621442,14226446 g1,240:1638400,14226446 g1,240:1310720,14226446 (1,240:1310720,14226446:983042,291271,0 k1,240:2293762,14226446:983042 (1,240:2293762,14226446:0,291271,0 k1,240:2075309,14226446:-218453 x1,240:2293762,14226446 ) ) g1,240:2621442,14226446 ) x1,240:4005546,14226446 x1,240:4213937,14226446 g1,240:4396120,14226446 x1,240:4614352,14226446 x1,240:5352927,14226446 g1,240:5535110,14226446 x1,240:5899486,14226446 x1,240:6263862,14226446 x1,240:7446124,14226446 g1,240:7628307,14226446 x1,240:8174866,14226446 g1,240:8357049,14226446 x1,240:9813897,14226446 g1,240:9996080,14226446 x1,240:10943064,14226446 g1,240:11125247,14226446 x1,240:13784005,14226446 g1,240:13966188,14226446 x1,240:14330564,14226446 x1,240:14645140,14226446 g1,240:14827323,14226446 $1,240:14827323,14226446 (1,240:14827323,14226446:377480,473170,11796 (1,240:14827323,14226446:377480,473170,11796 (1,240:14827323,14226446:377480,473170,11796 $1,240:14827323,14226446 x1,240:15165482,14226446 $1,240:15204803,14226446 ) ) ) $1,240:15204803,14226446 x1,240:15386986,14226446 g1,240:15569169,14226446 x1,240:16261225,14226446 g1,240:16443408,14226446 x1,240:17499840,14226446 g1,240:17682023,14226446 x1,240:18410775,14226446 g1,240:18592958,14226446 $1,240:18592958,14226446 [1,240:18592958,14226446:1595791,473491,141878 (1,240:18592958,14226446:1595791,473491,10808 x1,240:19138870,14226446 x1,240:20188749,14226446 ) ] $1,240:20188749,14226446 g1,240:20370932,14226446 x1,240:22482484,14226446 x1,240:22631898,14226446 g1,240:22857329,14226446 k1,240:25756279,14226446:2898950 k1,240:28655228,14226446:2898949 ) ] ] (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 [1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 g1,240:0,16782118 (1,240:0,16782118:29834876,0,0 [1,240:0,16782118:29834876,0,0 (1,240:0,16782118:29834876,0,0 h1,240:0,16782118:0,0,0 $1,240:0,16782118 [1,240:0,16782118:20511477,-133806,-297646 (1,240:0,16411564:20511477,241500,72908 h1,240:0,16411564:0,0,0 k1,240:1305270,16411564:1305270 k1,240:1382640,16411564:77370 k1,240:1452107,16411564:69467 x1,240:2089439,16411564 x1,240:2453815,16411564 x1,240:2611103,16411564 k1,240:2680570,16411564:69467 x1,240:2789686,16411564 k1,240:2859153,16411564:69467 x1,240:3332321,16411564 x1,240:3842189,16411564 x1,240:4443149,16411564 k1,240:4512616,16411564:69467 x1,240:4785895,16411564 k1,240:4855362,16411564:69467 x1,240:5638181,16411564 k1,240:5707648,16411564:69467 x1,240:5980927,16411564 k1,240:6050394,16411564:69467 x1,240:6305326,16411564 k1,240:6374793,16411564:69467 x1,240:7321777,16411564 k1,240:7391244,16411564:69467 k1,240:27902721,16411564:20511477 k1,240:27972188,16411564:69467 x1,240:28154376,16411564 x1,240:28871653,16411564 k1,240:28871653,16411564:0 k1,240:28871653,16411564:0 ) ] $1,240:20511477,16782118 k1,240:29834876,16782118:9323399 g1,240:29834876,16782118 ) ] ) g1,240:28655228,16782118 ) g1,240:28655228,16782118 g1,240:28655228,16782118 ) h1,240:1310720,16782118:0,0,0 ] g1,240:28655228,16782118 ) ) ] ] ] !19056 }9 !10 {10 [1,240:4736286,16782118:23918942,12045832,0 (1,240:4736286,4736286:0,0,0 [1,240:0,4736286:0,0,0 (1,240:0,0:0,0,0 (1,240:0,4736286:0,0,0 k1,240:0,4736286:4736286 ) g1,240:0,0 ) ] ) [1,240:4736286,4736286:0,0,0 (1,240:4736286,-65781:0,0,0 k1,240:4736286,-65781:3491347 ) ] [1,240:4736286,16782118:23918942,12045832,0 [1,240:1310720,16782118:27344508,16782118,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 (1,240:1310720,0:27344508,0,0 [1,240:1310720,0:27344508,0,0 (1,240:1310720,0:-1310720,0,0 g1,240:0,0 (1,240:0,0:0,0,0 (1,240:0,16782118:29834876,16782118,0 (1,240:0,16782118:29834876,16782118,0 r1,240:29834876,16782118:29834876,16782118,0 ) ) ) (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 (1,240:0,0:0,0,0 ) ) ) ) (1,240:1310720,0:-2490368,0,0 (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:-1310720,16782118,0 (1,240:1310720,16782118:-1310720,16782118,0 g1,240:0,16782118 (1,240:0,16782118:0,0,0 [1,240:0,16782118:27344508,16782118,0 (1,240:0,16782118:27344508,16782118,0 h1,240:0,16782118:0,0,0 r1,240:0,16782118:0,16782118,0 k1,240:27344508,16782118:27344508 g1,240:27344508,16782118 ) ] ) [1,240:0,16782118:0,16782118,0 ] ) ) ) (1,240:1310720,0:0,0,0 (1,240:1310720,16782118:28524156,16782118,0 (1,240:1310720,16782118:28524156,16782118,0 g1,240:28655228,16782118 g1,240:29834876,16782118 (1,240:29834876,16782118:0,0,0 [1,240:29834876,16782118:27344508,16782118,0 (1,240:29834876,16782118:27344508,16782118,0 h1,240:29834876,16782118:0,0,0 r1,240:29834876,16782118:0,16782118,0 k1,240:57179384,16782118:27344508 g1,240:57179384,16782118 ) ] ) [1,240:29834876,16782118:0,16782118,0 (1,240:29834876,16192294:0,0,0 k1,240:29648397,16192294:-186479 g1,240:29834876,16192294 ) (1,240:29834876,16651046:0,0,0 k1,240:29648397,16651046:-186479 g1,240:29834876,16651046 ) ] ) ) ) (1,240:1310720,0:-2490368,0,0 g1,240:0,0 (1,240:0,0:0,0,0 [1,240:0,0:0,0,0 ] ) g1,240:-1179648,0 ) g1,240:-1179648,0 ) (1,240:1310720,0:27344508,0,0 h1,240:1310720,0:0,0,0 k1,240:28655228,0:27344508 g1,240:28655228,0 ) ] ) ) ] [1,240:1310720,16519974:27344508,16519974,0 [1,240:1310720,16519974:27344508,16519974,0 v1,240:1310720,0:0,0,0 v1,240:1310720,0:0,0,0 (1,240:1310720,1532292:27344508,671408,546490 h1,240:1310720,1532292:0,0,0 g1,240:2056580,1532292 $1,240:2056580,1532292 [1,240:2056580,1532292:14328819,671408,546490 (1,240:2056580,1532292:14328819,671408,357750 x1,240:4285306,1532292 x1,240:6403151,1532292 g1,240:6621771,1532292 x1,240:10248752,1532292 g1,240:10467372,1532292 x1,240:11123243,1532292 g1,240:11341863,1532292 $1,240:11341863,1532292 x1,240:12322527,1532292 g1,240:12453597,1532292 (1,240:12453597,1532292:961866,546132,152916 x1,240:13404768,1532292 ) g1,240:13546533,1532292 x1,240:14269241,1532292 (1,240:14269241,1664468:248980,402708,114688 x1,240:14430803,1664468 ) x1,240:14947605,1532292 [1,240:14947605,1775354:1044578,914470,114688 (1,240:14947605,1246818:335870,385934,0 x1,240:15250707,1246818 ) (1,240:14947605,1775354:1044578,402708,114688 x1,240:15109167,1775354 x1,240:15959415,1775354 ) ] $1,240:15992183,1532292 x1,240:16385399,1532292 ) ] $1,240:16385399,1532292 x1,240:17025458,1532292 k1,240:22840343,1532292:5814885 k1,240:28655228,1532292:5814885 ) v1,240:1310720,2242622:0,0,0 (1,240:2621442,4180612:26033786,473491,145816 (1,240:2621442,4180612:0,291271,0 g1,240:2621442,4180612 g1,240:1638400,4180612 g1,240:1310720,4180612 (1,240:1310720,4180612:983042,291271,0 k1,240:2293762,4180612:983042 (1,240:2293762,4180612:0,291271,0 k1,240:2075309,4180612:-218453 x1,240:2293762,4180612 ) ) g1,240:2621442,4180612 ) x1,240:4297178,4180612 x1,240:4515410,4180612 x1,240:5253985,4180612 g1,240:5436168,4180612 x1,240:7948790,4180612 g1,240:8130973,4180612 x1,240:8677532,4180612 g1,240:8859715,4180612 x1,240:9224091,4180612 g1,240:9406274,4180612 x1,240:10353258,4180612 x1,240:12555240,4180612 g1,240:12737423,4180612 x1,240:14886983,4180612 g1,240:15069166,4180612 x1,240:15579030,4180612 g1,240:15761213,4180612 x1,240:16234381,4180612 x1,240:17827548,4180612 k1,240:23241388,4180612:5413840 k1,240:28655228,4180612:5413840 ) (1,240:4003711,5911774:23269249,819200,491520 x1,240:4463111,5911774 g1,240:4572335,5911774 (1,240:4572335,5911774:821021,455111,127431 x1,240:5384255,5911774 ) g1,240:5502580,5911774 (1,240:5502580,5911774:683675,455111,212718 x1,240:5966796,5911774 (1,240:5966796,6027645:219459,341315,96847 x1,240:6107745,6027645 ) ) g1,240:6368295,5911774 x1,240:6915513,5911774 g1,240:7097553,5911774 (1,240:7097553,5911774:9676394,819200,491520 x1,240:7644771,5911774 g1,240:7772199,5911774 h1,240:7772199,5911774:377480,473170,11796 (1,240:8149679,5911774:500032,416808,177930 (1,240:8149679,5911774:500032,416808,177930 $1,240:8149679,5911774 x1,240:8633328,5911774 $1,240:8649711,5911774 ) ) g1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 $1,240:8777139,5911774 (1,240:8777139,5911774:325713,779023,451343 (1,240:8777139,5183997:325713,25558,1153433 x1,240:9102852,5183997 ) v1,240:9102852,5911774:0,779023,451343 h1,240:9102852,5911774:0,0,0 ) $1,240:9102852,5911774 ) (1,240:9102852,5911774:2337281,461700,212718 x1,240:9562252,5911774 g1,240:9671476,5911774 (1,240:9671476,5911774:821021,455111,127431 x1,240:10483396,5911774 ) g1,240:10601721,5911774 (1,240:10601721,5911774:838412,455111,212718 x1,240:11220674,5911774 (1,240:11220674,6027645:219459,341315,96847 x1,240:11361623,6027645 ) ) ) g1,240:11567561,5911774 x1,240:12114779,5911774 g1,240:12242207,5911774 (1,240:12242207,5911774:4206027,819200,491520 (1,240:12242207,5092574:606206,0,1310720 x1,240:12586270,5092574 ) g1,240:12957637,5911774 x1,240:13208858,5911774 (1,240:13208858,6027645:219459,341315,96847 x1,240:13349807,6027645 ) x1,240:13887717,5911774 g1,240:13996941,5911774 (1,240:13996941,5911774:821021,455111,127431 x1,240:14808861,5911774 ) g1,240:14927186,5911774 x1,240:15546139,5911774 (1,240:15546139,6027645:219459,341315,96847 x1,240:15687088,6027645 ) g1,240:15874822,5911774 x1,240:16388188,5911774 ) (1,240:16448234,5911774:325713,779023,451343 $1,240:16448234,5911774 (1,240:16448234,5911774:325713,779023,451343 (1,240:16448234,5183997:325713,25558,1153433 x1,240:16773947,5183997 ) v1,240:16773947,5911774:0,779023,451343 h1,240:16773947,5911774:0,0,0 ) $1,240:16773947,5911774 ) ) g1,240:16901375,5911774 g1,240:17028803,5911774 g1,240:17156231,5911774 x1,240:17703449,5911774 g1,240:17830877,5911774 g1,240:17958305,5911774 g1,240:18085733,5911774 (1,240:18085733,5911774:4981200,779023,451343 (1,240:18085733,5911774:325713,779023,451343 $1,240:18085733,5911774 (1,240:18085733,5911774:325713,779023,451343 (1,240:18085733,5183997:325713,25558,1153433 x1,240:18411446,5183997 ) v1,240:18411446,5911774:0,779023,451343 h1,240:18411446,5911774:0,0,0 ) $1,240:18411446,5911774 ) h1,240:18411446,5911774:316538,466288,6225 h1,240:18727984,5911774:377480,473170,11796 x1,240:19714294,5911774 g1,240:19841722,5911774 x1,240:20388940,5911774 g1,240:20516368,5911774 x1,240:21159931,5911774 g1,240:21287359,5911774 x1,240:21834577,5911774 g1,240:21962005,5911774 x1,240:22731390,5911774 (1,240:22741220,5911774:325713,779023,451343 $1,240:22741220,5911774 (1,240:22741220,5911774:325713,779023,451343 (1,240:22741220,5183997:325713,25558,1153433 x1,240:23066933,5183997 ) v1,240:23066933,5911774:0,779023,451343 h1,240:23066933,5911774:0,0,0 ) $1,240:23066933,5911774 ) ) (1,240:23066933,5911774:4206027,819200,491520 (1,240:23066933,5092574:606206,0,1310720 x1,240:23410996,5092574 ) g1,240:23782363,5911774 x1,240:24033584,5911774 (1,240:24033584,6027645:219459,341315,96847 x1,240:24174533,6027645 ) x1,240:24712443,5911774 g1,240:24821667,5911774 (1,240:24821667,5911774:821021,455111,127431 x1,240:25633587,5911774 ) g1,240:25751912,5911774 x1,240:26370865,5911774 (1,240:26370865,6027645:219459,341315,96847 x1,240:26511814,6027645 ) g1,240:26699548,5911774 x1,240:27212914,5911774 ) h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 h1,240:27272960,5911774:0,0,0 ) (1,240:2621442,7025886:26033786,0,0 k1,240:15638335,7025886:13016893 k1,240:28655228,7025886:13016893 ) (1,240:2621442,7812318:26033786,512491,171048 (1,240:2621442,7812318:0,291271,0 g1,240:2621442,7812318 g1,240:1638400,7812318 g1,240:1310720,7812318 (1,240:1310720,7812318:983042,291271,0 k1,240:2293762,7812318:983042 (1,240:2293762,7812318:0,291271,0 k1,240:2075309,7812318:-218453 x1,240:2293762,7812318 ) ) g1,240:2621442,7812318 ) x1,240:4588152,7812318 g1,240:4770335,7812318 x1,240:7282957,7812318 g1,240:7465140,7812318 x1,240:8011699,7812318 g1,240:8193882,7812318 x1,240:8558258,7812318 g1,240:8740441,7812318 x1,240:9687425,7812318 x1,240:11889407,7812318 g1,240:12071590,7812318 x1,240:14221150,7812318 g1,240:14403333,7812318 x1,240:14913197,7812318 g1,240:15095380,7812318 x1,240:15568548,7812318 x1,240:16979532,7812318 g1,240:17161715,7812318 $1,240:17161715,7812318 (1,240:17161715,7812318:3132120,512491,171048 x1,240:17781467,7812318 x1,240:18670865,7812318 g1,240:18905948,7812318 x1,240:19453166,7812318 g1,240:19635206,7812318 (1,240:19635206,7812318:377480,473170,11796 (1,240:19635206,7812318:377480,473170,11796 $1,240:19635206,7812318 x1,240:19973365,7812318 $1,240:20012686,7812318 ) ) x1,240:20293835,7812318 ) $1,240:20293835,7812318 k1,240:24474532,7812318:4180697 k1,240:28655228,7812318:4180696 ) (1,240:4161980,9568712:22952711,819200,491520 x1,240:4621380,9568712 g1,240:4730604,9568712 (1,240:4730604,9568712:821021,455111,127431 x1,240:5542524,9568712 ) g1,240:5660849,9568712 (1,240:5660849,9568712:683675,455111,212718 x1,240:6125065,9568712 (1,240:6125065,9684583:219459,341315,96847 x1,240:6266014,9684583 ) ) g1,240:6526564,9568712 x1,240:7073782,9568712 g1,240:7255822,9568712 (1,240:7255822,9568712:9676394,819200,491520 x1,240:7803040,9568712 g1,240:7930468,9568712 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 (1,240:7930468,9568712:377480,473170,11796 $1,240:7930468,9568712 x1,240:8268627,9568712 $1,240:8307948,9568712 ) ) ) ) (1,240:8307948,9568712:500032,416808,177930 (1,240:8307948,9568712:500032,416808,177930 $1,240:8307948,9568712 x1,240:8791597,9568712 $1,240:8807980,9568712 ) ) g1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 $1,240:8935408,9568712 (1,240:8935408,9568712:325713,779023,451343 (1,240:8935408,8840935:325713,25558,1153433 x1,240:9261121,8840935 ) v1,240:9261121,9568712:0,779023,451343 h1,240:9261121,9568712:0,0,0 ) $1,240:9261121,9568712 ) (1,240:9261121,9568712:2337281,461700,212718 x1,240:9720521,9568712 g1,240:9829745,9568712 (1,240:9829745,9568712:821021,455111,127431 x1,240:10641665,9568712 ) g1,240:10759990,9568712 (1,240:10759990,9568712:838412,455111,212718 x1,240:11378943,9568712 (1,240:11378943,9684583:219459,341315,96847 x1,240:11519892,9684583 ) ) ) g1,240:11725830,9568712 x1,240:12273048,9568712 g1,240:12400476,9568712 (1,240:12400476,9568712:4206027,819200,491520 (1,240:12400476,8749512:606206,0,1310720 x1,240:12744539,8749512 ) g1,240:13115906,9568712 x1,240:13367127,9568712 (1,240:13367127,9684583:219459,341315,96847 x1,240:13508076,9684583 ) x1,240:14045986,9568712 g1,240:14155210,9568712 (1,240:14155210,9568712:821021,455111,127431 x1,240:14967130,9568712 ) g1,240:15085455,9568712 x1,240:15704408,9568712 (1,240:15704408,9684583:219459,341315,96847 x1,240:15845357,9684583 ) g1,240:16033091,9568712 x1,240:16546457,9568712 ) (1,240:16606503,9568712:325713,779023,451343 $1,240:16606503,9568712 (1,240:16606503,9568712:325713,779023,451343 (1,240:16606503,8840935:325713,25558,1153433 x1,240:16932216,8840935 ) v1,240:16932216,9568712:0,779023,451343 h1,240:16932216,9568712:0,0,0 ) $1,240:16932216,9568712 ) ) g1,240:17059644,9568712 g1,240:17187072,9568712 g1,240:17314500,9568712 x1,240:17861718,9568712 g1,240:17989146,9568712 g1,240:18116574,9568712 g1,240:18244002,9568712 (1,240:18244002,9568712:4664662,779023,451343 (1,240:18244002,9568712:325713,779023,451343 $1,240:18244002,9568712 (1,240:18244002,9568712:325713,779023,451343 (1,240:18244002,8840935:325713,25558,1153433 x1,240:18569715,8840935 ) v1,240:18569715,9568712:0,779023,451343 h1,240:18569715,9568712:0,0,0 ) $1,240:18569715,9568712 ) (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 (1,240:18569715,9568712:377480,473170,11796 $1,240:18569715,9568712 x1,240:18907874,9568712 $1,240:18947195,9568712 ) ) ) ) x1,240:19556025,9568712 g1,240:19683453,9568712 x1,240:20230671,9568712 g1,240:20358099,9568712 x1,240:21001662,9568712 g1,240:21129090,9568712 x1,240:21676308,9568712 g1,240:21803736,9568712 x1,240:22573121,9568712 (1,240:22582951,9568712:325713,779023,451343 $1,240:22582951,9568712 (1,240:22582951,9568712:325713,779023,451343 (1,240:22582951,8840935:325713,25558,1153433 x1,240:22908664,8840935 ) v1,240:22908664,9568712:0,779023,451343 h1,240:22908664,9568712:0,0,0 ) $1,240:22908664,9568712 ) ) (1,240:22908664,9568712:4206027,819200,491520 (1,240:22908664,8749512:606206,0,1310720 x1,240:23252727,8749512 ) g1,240:23624094,9568712 x1,240:23875315,9568712 (1,240:23875315,9684583:219459,341315,96847 x1,240:24016264,9684583 ) x1,240:24554174,9568712 g1,240:24663398,9568712 (1,240:24663398,9568712:821021,455111,127431 x1,240:25475318,9568712 ) g1,240:25593643,9568712 x1,240:26212596,9568712 (1,240:26212596,9684583:219459,341315,96847 x1,240:26353545,9684583 ) g1,240:26541279,9568712 x1,240:27054645,9568712 ) h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 h1,240:27114691,9568712:0,0,0 ) (1,240:2621442,10682824:26033786,0,0 k1,240:15638335,10682824:13016893 k1,240:28655228,10682824:13016893 ) (1,240:1310720,11874954:27344508,483000,140240 g1,240:2056580,11874954 x1,240:5006978,11874954 g1,240:5189161,11874954 x1,240:5371344,11874954 x1,240:5934295,11874954 g1,240:6116478,11874954 x1,240:7391789,11874954 x1,240:7881995,11874954 g1,240:8107426,11874954 k1,240:18381327,11874954:10273901 k1,240:28655228,11874954:10273901 ) (1,240:2621442,13067084:26033786,483000,155973 (1,240:2621442,13067084:0,429625,0 g1,240:2621442,13067084 g1,240:1638400,13067084 g1,240:1310720,13067084 (1,240:1310720,13067084:983042,429625,0 k1,240:2293762,13067084:983042 (1,240:2293762,13067084:0,429625,0 k1,240:1784036,13067084:-509726 x1,240:2293762,13067084 ) ) g1,240:2621442,13067084 ) x1,240:5754014,13067084 x1,240:6575174,13067084 x1,240:6757357,13067084 g1,240:6939540,13067084 x1,240:7668292,13067084 x1,240:8131636,13067084 g1,240:8313819,13067084 x1,240:10498770,13067084 x1,240:10846762,13067084 g1,240:11028945,13067084 x1,240:11502113,13067084 g1,240:11684296,13067084 x1,240:12704023,13067084 g1,240:12886206,13067084 x1,240:14852917,13067084 g1,240:15078348,13067084 x1,240:15478772,13067084 x1,240:15764508,13067084 g1,240:15946691,13067084 $1,240:15946691,13067084 (1,240:15946691,13067084:377480,473170,11796 (1,240:15946691,13067084:377480,473170,11796 (1,240:15946691,13067084:377480,473170,11796 $1,240:15946691,13067084 x1,240:16284850,13067084 $1,240:16324171,13067084 ) ) ) $1,240:16324171,13067084 x1,240:16688547,13067084 g1,240:16913978,13067084 x1,240:17351098,13067084 x1,240:18235824,13067084 g1,240:18418007,13067084 x1,240:18600190,13067084 x1,240:19163141,13067084 g1,240:19345324,13067084 $1,240:19345324,13067084 x1,240:19779165,13067084 g1,240:19961205,13067084 (1,240:19961205,13067084:724791,455111,131070 [1,240:19961205,13067084:724791,455111,131070 (1,240:19961205,13067084:724791,455111,0 x1,240:20580158,13067084 ) ] ) (1,240:20685996,13223057:259164,284615,0 x1,240:20877100,13223057 ) $1,240:20945160,13067084 x1,240:21309536,13067084 g1,240:21534967,13067084 x1,240:23064558,13067084 g1,240:23246741,13067084 x1,240:24886428,13067084 g1,240:25068611,13067084 $1,240:25068611,13067084 x1,240:25505519,13067084 (1,240:25505519,13165387:259164,284615,0 x1,240:25696623,13165387 ) $1,240:25764683,13067084 x1,240:26129059,13067084 k1,240:27392144,13067084:1263085 k1,240:28655228,13067084:1263084 ) (1,240:2621442,14226446:26033786,478735,140240 (1,240:2621442,14226446:0,429625,0 g1,240:2621442,14226446 g1,240:1638400,14226446 g1,240:1310720,14226446 (1,240:1310720,14226446:983042,429625,0 k1,240:2293762,14226446:983042 (1,240:2293762,14226446:0,429625,0 k1,240:1784036,14226446:-509726 x1,240:2293762,14226446 ) ) g1,240:2621442,14226446 ) x1,240:4332570,14226446 x1,240:5798593,14226446 x1,240:5980776,14226446 g1,240:6162959,14226446 x1,240:7547062,14226446 g1,240:7729245,14226446 x1,240:8676229,14226446 g1,240:8858412,14226446 x1,240:9769347,14226446 g1,240:9951530,14226446 x1,240:14576370,14226446 g1,240:14758553,14226446 x1,240:17526121,14226446 g1,240:17708304,14226446 x1,240:18254863,14226446 g1,240:18437046,14226446 x1,240:19893894,14226446 g1,240:20076077,14226446 x1,240:21204597,14226446 x1,240:21542100,14226446 x1,240:22075555,14226446 g1,240:22257738,14226446 x1,240:24807066,14226446 k1,240:26731147,14226446:1924081 k1,240:28655228,14226446:1924081 ) ] ] (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 [1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 (1,240:1310720,16782118:27344508,0,0 g1,240:0,16782118 (1,240:0,16782118:29834876,0,0 [1,240:0,16782118:29834876,0,0 (1,240:0,16782118:29834876,0,0 h1,240:0,16782118:0,0,0 $1,240:0,16782118 [1,240:0,16782118:20511477,-133806,-297646 (1,240:0,16411564:20511477,241500,72908 h1,240:0,16411564:0,0,0 k1,240:1305270,16411564:1305270 k1,240:1382640,16411564:77370 k1,240:1452107,16411564:69467 x1,240:2089439,16411564 x1,240:2453815,16411564 x1,240:2611103,16411564 k1,240:2680570,16411564:69467 x1,240:2789686,16411564 k1,240:2859153,16411564:69467 x1,240:3332321,16411564 x1,240:3842189,16411564 x1,240:4443149,16411564 k1,240:4512616,16411564:69467 x1,240:4785895,16411564 k1,240:4855362,16411564:69467 x1,240:5638181,16411564 k1,240:5707648,16411564:69467 x1,240:5980927,16411564 k1,240:6050394,16411564:69467 x1,240:6305326,16411564 k1,240:6374793,16411564:69467 x1,240:7321777,16411564 k1,240:7391244,16411564:69467 k1,240:27902721,16411564:20511477 k1,240:27972188,16411564:69467 x1,240:28154376,16411564 x1,240:28871653,16411564 k1,240:28871653,16411564:0 k1,240:28871653,16411564:0 ) ] $1,240:20511477,16782118 k1,240:29834876,16782118:9323399 g1,240:29834876,16782118 ) ] ) g1,240:28655228,16782118 ) g1,240:28655228,16782118 g1,240:28655228,16782118 ) h1,240:1310720,16782118:0,0,0 ] g1,240:28655228,16782118 ) ) ] ] ] !18703 }10 !11 {11 [1,266:4736286,16782118:23918942,12045832,0 (1,266:4736286,4736286:0,0,0 [1,266:0,4736286:0,0,0 (1,266:0,0:0,0,0 (1,266:0,4736286:0,0,0 k1,266:0,4736286:4736286 ) g1,266:0,0 ) ] ) [1,266:4736286,4736286:0,0,0 (1,266:4736286,-65781:0,0,0 k1,266:4736286,-65781:3491347 ) ] [1,266:4736286,16782118:23918942,12045832,0 [1,266:1310720,16782118:27344508,16782118,0 [1,266:1310720,0:27344508,0,0 (1,266:1310720,0:27344508,0,0 (1,266:1310720,0:27344508,0,0 [1,266:1310720,0:27344508,0,0 (1,266:1310720,0:-1310720,0,0 g1,266:0,0 (1,266:0,0:0,0,0 (1,266:0,16782118:29834876,16782118,0 (1,266:0,16782118:29834876,16782118,0 r1,266:29834876,16782118:29834876,16782118,0 ) ) ) (1,266:0,0:0,0,0 (1,266:0,0:0,0,0 (1,266:0,0:0,0,0 ) ) ) ) (1,266:1310720,0:-2490368,0,0 (1,266:1310720,0:0,0,0 (1,266:1310720,16782118:-1310720,16782118,0 (1,266:1310720,16782118:-1310720,16782118,0 g1,266:0,16782118 (1,266:0,16782118:0,0,0 [1,266:0,16782118:27344508,16782118,0 (1,266:0,16782118:27344508,16782118,0 h1,266:0,16782118:0,0,0 r1,266:0,16782118:0,16782118,0 k1,266:27344508,16782118:27344508 g1,266:27344508,16782118 ) ] ) [1,266:0,16782118:0,16782118,0 ] ) ) ) (1,266:1310720,0:0,0,0 (1,266:1310720,16782118:28524156,16782118,0 (1,266:1310720,16782118:28524156,16782118,0 g1,266:28655228,16782118 g1,266:29834876,16782118 (1,266:29834876,16782118:0,0,0 [1,266:29834876,16782118:27344508,16782118,0 (1,266:29834876,16782118:27344508,16782118,0 h1,266:29834876,16782118:0,0,0 r1,266:29834876,16782118:0,16782118,0 k1,266:57179384,16782118:27344508 g1,266:57179384,16782118 ) ] ) [1,266:29834876,16782118:0,16782118,0 (1,266:29834876,16192294:0,0,0 k1,266:29648397,16192294:-186479 g1,266:29834876,16192294 ) (1,266:29834876,16651046:0,0,0 k1,266:29648397,16651046:-186479 g1,266:29834876,16651046 ) ] ) ) ) (1,266:1310720,0:-2490368,0,0 g1,266:0,0 (1,266:0,0:0,0,0 [1,266:0,0:0,0,0 ] ) g1,266:-1179648,0 ) g1,266:-1179648,0 ) (1,266:1310720,0:27344508,0,0 h1,266:1310720,0:0,0,0 k1,266:28655228,0:27344508 g1,266:28655228,0 ) ] ) ) ] [1,266:1310720,16519974:27344508,16519974,0 [1,266:1310720,16519974:27344508,16519974,0 v1,266:1310720,0:0,0,0 v1,266:1310720,0:0,0,0 (1,266:1310720,1532292:27344508,655359,357028 h1,266:1310720,1532292:0,0,0 g1,266:2056580,1532292 $1,266:2056580,1532292 [1,266:2056580,1532292:4143663,579600,357028 (1,266:2056580,1532292:4143663,579600,168288 x1,266:4109932,1532292 x1,266:5807027,1532292 x1,266:6200243,1532292 ) ] $1,266:6200243,1532292 x1,266:6840302,1532292 k1,266:17747765,1532292:10907463 k1,266:28655228,1532292:10907463 ) [1,266:1310720,2053160:0,0,0 [1,266:1310720,2053160:0,0,0 ] [1,266:1310720,2053160:0,0,0 ] ] v1,266:1310720,2053160:0,0,0 (1,266:2621442,3058811:26033786,512491,177930 (1,266:2621442,3058811:0,0,0 g1,266:2621442,3058811 g1,266:1638400,3058811 g1,266:1310720,3058811 (1,266:1310720,3058811:983042,0,0 k1,266:2293762,3058811:983042 (1,266:2293762,3058811:0,0,0 g1,266:2293762,3058811 ) ) g1,266:2621442,3058811 ) $1,266:2621442,3058811 (1,266:2621442,3058811:500032,416808,177930 (1,266:2621442,3058811:500032,416808,177930 $1,266:2621442,3058811 x1,266:3105091,3058811 $1,266:3121474,3058811 ) ) g1,266:3303514,3058811 x1,266:3850732,3058811 g1,266:4032772,3058811 x1,266:4360453,3058811 $1,266:4360453,3058811 x1,266:4542636,3058811 g1,266:4724819,3058811 $1,266:4724819,3058811 (1,266:4724819,3058811:377480,473170,11796 (1,266:4724819,3058811:377480,473170,11796 $1,266:4724819,3058811 x1,266:5062978,3058811 $1,266:5102299,3058811 ) ) g1,266:5284339,3058811 x1,266:5831557,3058811 g1,266:6013597,3058811 x1,266:7178685,3058811 $1,266:7178685,3058811 x1,266:7360868,3058811 g1,266:7543051,3058811 $1,266:7543051,3058811 (1,266:7543051,3058811:376831,306053,11796 (1,266:7543051,3058811:376831,306053,11796 $1,266:7543051,3058811 x1,266:7919882,3058811 $1,266:7919882,3058811 ) ) g1,266:8101922,3058811 x1,266:8649140,3058811 g1,266:8831180,3058811 x1,266:9996268,3058811 $1,266:9996268,3058811 x1,266:10178451,3058811 g1,266:10360634,3058811 $1,266:10360634,3058811 (1,266:10360634,3058811:423362,306053,152043 (1,266:10360634,3058811:423362,306053,152043 $1,266:10360634,3058811 x1,266:10761059,3058811 $1,266:10783996,3058811 ) ) g1,266:10966036,3058811 x1,266:11513254,3058811 g1,266:11695294,3058811 x1,266:12022975,3058811 $1,266:12022975,3058811 x1,266:12205158,3058811 g1,266:12387341,3058811 $1,266:12387341,3058811 x1,266:12672241,3058811 g1,266:12911641,3058811 x1,266:13458859,3058811 g1,266:13640899,3058811 x1,266:14014092,3058811 x1,266:14667774,3058811 x1,266:15006283,3058811 $1,266:15006283,3058811 g1,266:15188466,3058811 $1,266:15188466,3058811 g1,266:15843826,3058811 g1,266:16499186,3058811 $1,266:16499186,3058811 $1,266:16499186,3058811 x1,266:16808843,3058811 (1,266:16808843,3174489:1561579,318577,0 x1,266:18263169,3174489 ) g1,266:18534262,3058811 x1,266:19026758,3058811 g1,266:19190598,3058811 x1,266:19965192,3058811 g1,266:20129032,3058811 x1,266:20621528,3058811 g1,266:20785368,3058811 x1,266:21088470,3058811 $1,266:21088470,3058811 x1,266:21252435,3058811 g1,266:21416400,3058811 x1,266:21842251,3058811 x1,266:22414376,3058811 x1,266:22537056,3058811 g1,266:22701021,3058811 x1,266:23618775,3058811 g1,266:23782740,3058811 x1,266:25192405,3058811 k1,266:26923817,3058811:1731412 k1,266:28655228,3058811:1731411 ) (1,266:1310720,16018846:27344508,12794587,0 k1,266:5288779,16018846:3978059 (1,266:5288779,16018846:0,0,0 g1,266:5288779,16018846 g1,266:5288779,16018846 g1,266:5288779,16018846 g1,266:4961099,16018846 (1,266:4961099,16018846:0,0,0 ) g1,266:5288779,16018846 g1,266:5288779,16018846 g1,266:5288779,16018846 g1,266:5288779,16018846 g1,266:4961099,16018846 (1,266:4961099,16018846:0,0,0 ) g1,266:5288779,16018846 ) r1,266:5288779,16018846:0,12794587,0 g1,266:3983509,16018846 g1,266:3983509,16018846 g1,266:4165692,16018846 (1,266:4165692,16018846:20511477,12794587,0 (1,266:4165692,16018846:20511477,12794587,0 (1,266:4165692,16018846:20511477,12794587,0 (1,266:4165692,16018846:20511477,12794587,0 (1,266:4165692,16018846:20511477,12794587,0 (1,266:4165692,16018846:20511761,12794619,0 (1,266:4165692,16018846:20511761,12794619,0 (1,266:4165692,16018846:0,12794619,0 (1,266:4165692,16018846:0,26018002,0 (1,266:4165692,16018846:41710898,26018002,0 ) k1,266:4165694,16018846:-41710896 ) ) g1,266:24677453,16018846 ) ) ) ) ) ) ) g1,266:24677169,16018846 k1,266:28655228,16018846:3978059 ) ] ] (1,266:1310720,16782118:27344508,0,0 (1,266:1310720,16782118:27344508,0,0 [1,266:1310720,16782118:27344508,0,0 (1,266:1310720,16782118:27344508,0,0 (1,266:1310720,16782118:27344508,0,0 g1,266:0,16782118 (1,266:0,16782118:29834876,0,0 [1,266:0,16782118:29834876,0,0 (1,266:0,16782118:29834876,0,0 h1,266:0,16782118:0,0,0 $1,266:0,16782118 [1,266:0,16782118:20511477,-133806,-297646 (1,266:0,16411564:20511477,241500,72908 h1,266:0,16411564:0,0,0 k1,266:1305270,16411564:1305270 k1,266:1382640,16411564:77370 k1,266:1452107,16411564:69467 x1,266:2089439,16411564 x1,266:2453815,16411564 x1,266:2611103,16411564 k1,266:2680570,16411564:69467 x1,266:2789686,16411564 k1,266:2859153,16411564:69467 x1,266:3332321,16411564 x1,266:3842189,16411564 x1,266:4443149,16411564 k1,266:4512616,16411564:69467 x1,266:4785895,16411564 k1,266:4855362,16411564:69467 x1,266:5638181,16411564 k1,266:5707648,16411564:69467 x1,266:5980927,16411564 k1,266:6050394,16411564:69467 x1,266:6305326,16411564 k1,266:6374793,16411564:69467 x1,266:7321777,16411564 k1,266:7391244,16411564:69467 k1,266:27902721,16411564:20511477 k1,266:27972188,16411564:69467 x1,266:28154376,16411564 x1,266:28871653,16411564 k1,266:28871653,16411564:0 k1,266:28871653,16411564:0 ) ] $1,266:20511477,16782118 k1,266:29834876,16782118:9323399 g1,266:29834876,16782118 ) ] ) g1,266:28655228,16782118 ) g1,266:28655228,16782118 g1,266:28655228,16782118 ) h1,266:1310720,16782118:0,0,0 ] g1,266:28655228,16782118 ) ) ] ] ] !7866 }11 !10 {12 [1,294:4736286,16782118:23918942,12045832,0 (1,294:4736286,4736286:0,0,0 [1,294:0,4736286:0,0,0 (1,294:0,0:0,0,0 (1,294:0,4736286:0,0,0 k1,294:0,4736286:4736286 ) g1,294:0,0 ) ] ) [1,294:4736286,4736286:0,0,0 (1,294:4736286,-65781:0,0,0 k1,294:4736286,-65781:3491347 ) ] [1,294:4736286,16782118:23918942,12045832,0 [1,294:1310720,16782118:27344508,16782118,0 [1,294:1310720,0:27344508,0,0 (1,294:1310720,0:27344508,0,0 (1,294:1310720,0:27344508,0,0 [1,294:1310720,0:27344508,0,0 (1,294:1310720,0:-1310720,0,0 g1,294:0,0 (1,294:0,0:0,0,0 (1,294:0,16782118:29834876,16782118,0 (1,294:0,16782118:29834876,16782118,0 r1,294:29834876,16782118:29834876,16782118,0 ) ) ) (1,294:0,0:0,0,0 (1,294:0,0:0,0,0 (1,294:0,0:0,0,0 ) ) ) ) (1,294:1310720,0:-2490368,0,0 (1,294:1310720,0:0,0,0 (1,294:1310720,16782118:-1310720,16782118,0 (1,294:1310720,16782118:-1310720,16782118,0 g1,294:0,16782118 (1,294:0,16782118:0,0,0 [1,294:0,16782118:27344508,16782118,0 (1,294:0,16782118:27344508,16782118,0 h1,294:0,16782118:0,0,0 r1,294:0,16782118:0,16782118,0 k1,294:27344508,16782118:27344508 g1,294:27344508,16782118 ) ] ) [1,294:0,16782118:0,16782118,0 ] ) ) ) (1,294:1310720,0:0,0,0 (1,294:1310720,16782118:28524156,16782118,0 (1,294:1310720,16782118:28524156,16782118,0 g1,294:28655228,16782118 g1,294:29834876,16782118 (1,294:29834876,16782118:0,0,0 [1,294:29834876,16782118:27344508,16782118,0 (1,294:29834876,16782118:27344508,16782118,0 h1,294:29834876,16782118:0,0,0 r1,294:29834876,16782118:0,16782118,0 k1,294:57179384,16782118:27344508 g1,294:57179384,16782118 ) ] ) [1,294:29834876,16782118:0,16782118,0 (1,294:29834876,16192294:0,0,0 k1,294:29648397,16192294:-186479 g1,294:29834876,16192294 ) (1,294:29834876,16651046:0,0,0 k1,294:29648397,16651046:-186479 g1,294:29834876,16651046 ) ] ) ) ) (1,294:1310720,0:-2490368,0,0 g1,294:0,0 (1,294:0,0:0,0,0 [1,294:0,0:0,0,0 ] ) g1,294:-1179648,0 ) g1,294:-1179648,0 ) (1,294:1310720,0:27344508,0,0 h1,294:1310720,0:0,0,0 k1,294:28655228,0:27344508 g1,294:28655228,0 ) ] ) ) ] [1,294:1310720,16519974:27344508,16519974,0 [1,294:1310720,16519974:27344508,16519974,0 v1,294:1310720,0:0,0,0 v1,294:1310720,0:0,0,0 (1,294:1310720,1532292:27344508,655359,357028 h1,294:1310720,1532292:0,0,0 g1,294:2056580,1532292 $1,294:2056580,1532292 [1,294:2056580,1532292:6266232,568190,357028 (1,294:2056580,1532292:6266232,568190,168288 x1,294:2537089,1532292 x1,294:3010522,1532292 x1,294:3439910,1532292 g1,294:3658530,1532292 x1,294:4095781,1532292 x1,294:5601790,1532292 x1,294:7929596,1532292 x1,294:8322812,1532292 ) ] $1,294:8322812,1532292 x1,294:8962871,1532292 k1,294:18809049,1532292:9846178 k1,294:28655227,1532292:9846178 ) [1,294:1310720,2053160:0,0,0 [1,294:1310720,2053160:0,0,0 ] [1,294:1310720,2053160:0,0,0 ] ] v1,294:1310720,2053160:0,0,0 (1,294:1310720,12831045:27344508,10636684,0 k1,294:1372954,12831045:62234 (1,294:1372954,12831045:0,0,0 g1,294:1372954,12831045 g1,294:1372954,12831045 g1,294:1372954,12831045 g1,294:1045274,12831045 (1,294:1045274,12831045:0,0,0 ) g1,294:1372954,12831045 g1,294:1372954,12831045 g1,294:1372954,12831045 g1,294:1372954,12831045 g1,294:1045274,12831045 (1,294:1045274,12831045:0,0,0 ) g1,294:1372954,12831045 ) r1,294:1372954,12831045:0,10636684,0 g1,294:440615,12831045 g1,294:440615,12831045 g1,294:622798,12831045 (1,294:622798,12831045:27970197,10636684,0 (1,294:622798,12831045:27970197,10636684,0 (1,294:622798,12831045:27970197,10636684,0 (1,294:622798,12831045:27970197,10636684,0 (1,294:622798,12831045:27970197,10636684,0 (1,294:622798,12831045:27970007,10636710,0 (1,294:622798,12831045:27970007,10636710,0 (1,294:622798,12831045:0,10636710,0 (1,294:622798,12831045:0,20713361,0 (1,294:622798,12831045:54467297,20713361,0 ) k1,294:622799,12831045:-54467296 ) ) g1,294:28592805,12831045 ) ) ) ) ) ) ) g1,294:28592995,12831045 k1,294:28655228,12831045:62233 ) (1,294:2621442,14098868:26033786,512491,215467 (1,294:2621442,14098868:0,455111,0 g1,294:2621442,14098868 g1,294:1638400,14098868 g1,294:1310720,14098868 (1,294:1310720,14098868:983042,455111,0 k1,294:2293762,14098868:983042 (1,294:2293762,14098868:0,455111,0 k1,294:1674809,14098868:-618953 x1,294:2293762,14098868 ) ) g1,294:2621442,14098868 ) x1,294:4368618,14098868 x1,294:5272353,14098868 g1,294:5454536,14098868 x1,294:5927704,14098868 x1,294:7193191,14098868 g1,294:7418622,14098868 $1,294:7418622,14098868 x1,294:7866453,14098868 (1,294:7866453,14214739:400113,341315,96847 x1,294:8198506,14214739 ) g1,294:8448606,14098868 x1,294:8995824,14098868 g1,294:9177864,14098868 (1,294:9177864,14098868:1137781,291271,0 x1,294:10315645,14098868 ) x1,294:11121296,14098868 (1,294:11121296,14217488:497991,344064,96847 x1,294:11262245,14217488 x1,294:11586519,14217488 ) x1,294:11801332,14098868 g1,294:11910556,14098868 (1,294:11910556,14098868:518844,291271,131070 [1,294:11910556,14098868:518844,291271,131070 (1,294:11910556,14098868:518844,291271,0 x1,294:12358387,14098868 ) ] ) (1,294:12429400,14254841:259164,284615,0 x1,294:12620504,14254841 ) x1,294:13046384,14098868 $1,294:13046384,14098868 g1,294:13228567,14098868 k1,294:20941898,14098868:7713331 k1,294:28655228,14098868:7713330 ) (1,294:2621442,15258230:26033786,483000,145816 (1,294:2621442,15258230:0,455111,0 g1,294:2621442,15258230 g1,294:1638400,15258230 g1,294:1310720,15258230 (1,294:1310720,15258230:983042,455111,0 k1,294:2293762,15258230:983042 (1,294:2293762,15258230:0,455111,0 k1,294:1674809,15258230:-618953 x1,294:2293762,15258230 ) ) g1,294:2621442,15258230 ) x1,294:4296520,15258230 g1,294:4478703,15258230 x1,294:5498430,15258230 g1,294:5680613,15258230 x1,294:7465141,15258230 x1,294:7773164,15258230 x1,294:8342668,15258230 g1,294:8524851,15258230 x1,294:8998019,15258230 x1,294:10409003,15258230 g1,294:10591186,15258230 x1,294:11101050,15258230 g1,294:11283233,15258230 x1,294:12302961,15258230 g1,294:12485144,15258230 x1,294:13650359,15258230 g1,294:13832542,15258230 x1,294:15470926,15258230 x1,294:16463781,15258230 g1,294:16645964,15258230 x1,294:18138859,15258230 g1,294:18321042,15258230 x1,294:19468239,15258230 g1,294:19632204,15258230 x1,294:21401661,15258230 g1,294:21565626,15258230 x1,294:23237169,15258230 g1,294:23401134,15258230 x1,294:23761515,15258230 x1,294:24853256,15258230 k1,294:26754242,15258230:1900986 k1,294:28655228,15258230:1900986 ) ] ] (1,294:1310720,16782118:27344508,0,0 (1,294:1310720,16782118:27344508,0,0 [1,294:1310720,16782118:27344508,0,0 (1,294:1310720,16782118:27344508,0,0 (1,294:1310720,16782118:27344508,0,0 g1,294:0,16782118 (1,294:0,16782118:29834876,0,0 [1,294:0,16782118:29834876,0,0 (1,294:0,16782118:29834876,0,0 h1,294:0,16782118:0,0,0 $1,294:0,16782118 [1,294:0,16782118:20511477,-133806,-297646 (1,294:0,16411564:20511477,241500,72908 h1,294:0,16411564:0,0,0 k1,294:1305270,16411564:1305270 k1,294:1382640,16411564:77370 k1,294:1452107,16411564:69467 x1,294:2089439,16411564 x1,294:2453815,16411564 x1,294:2611103,16411564 k1,294:2680570,16411564:69467 x1,294:2789686,16411564 k1,294:2859153,16411564:69467 x1,294:3332321,16411564 x1,294:3842189,16411564 x1,294:4443149,16411564 k1,294:4512616,16411564:69467 x1,294:4785895,16411564 k1,294:4855362,16411564:69467 x1,294:5638181,16411564 k1,294:5707648,16411564:69467 x1,294:5980927,16411564 k1,294:6050394,16411564:69467 x1,294:6305326,16411564 k1,294:6374793,16411564:69467 x1,294:7321777,16411564 k1,294:7391244,16411564:69467 k1,294:27902721,16411564:20511477 k1,294:27972188,16411564:69467 x1,294:28154376,16411564 x1,294:28871653,16411564 k1,294:28871653,16411564:0 k1,294:28871653,16411564:0 ) ] $1,294:20511477,16782118 k1,294:29834876,16782118:9323399 g1,294:29834876,16782118 ) ] ) g1,294:28655228,16782118 ) g1,294:28655228,16782118 g1,294:28655228,16782118 ) h1,294:1310720,16782118:0,0,0 ] g1,294:28655228,16782118 ) ) ] ] ] !7782 }12 !10 {13 [1,319:4736286,16782118:23918942,12045832,0 (1,319:4736286,4736286:0,0,0 [1,319:0,4736286:0,0,0 (1,319:0,0:0,0,0 (1,319:0,4736286:0,0,0 k1,319:0,4736286:4736286 ) g1,319:0,0 ) ] ) [1,319:4736286,4736286:0,0,0 (1,319:4736286,-65781:0,0,0 k1,319:4736286,-65781:3491347 ) ] [1,319:4736286,16782118:23918942,12045832,0 [1,319:1310720,16782118:27344508,16782118,0 [1,319:1310720,0:27344508,0,0 (1,319:1310720,0:27344508,0,0 (1,319:1310720,0:27344508,0,0 [1,319:1310720,0:27344508,0,0 (1,319:1310720,0:-1310720,0,0 g1,319:0,0 (1,319:0,0:0,0,0 (1,319:0,16782118:29834876,16782118,0 (1,319:0,16782118:29834876,16782118,0 r1,319:29834876,16782118:29834876,16782118,0 ) ) ) (1,319:0,0:0,0,0 (1,319:0,0:0,0,0 (1,319:0,0:0,0,0 ) ) ) ) (1,319:1310720,0:-2490368,0,0 (1,319:1310720,0:0,0,0 (1,319:1310720,16782118:-1310720,16782118,0 (1,319:1310720,16782118:-1310720,16782118,0 g1,319:0,16782118 (1,319:0,16782118:0,0,0 [1,319:0,16782118:27344508,16782118,0 (1,319:0,16782118:27344508,16782118,0 h1,319:0,16782118:0,0,0 r1,319:0,16782118:0,16782118,0 k1,319:27344508,16782118:27344508 g1,319:27344508,16782118 ) ] ) [1,319:0,16782118:0,16782118,0 ] ) ) ) (1,319:1310720,0:0,0,0 (1,319:1310720,16782118:28524156,16782118,0 (1,319:1310720,16782118:28524156,16782118,0 g1,319:28655228,16782118 g1,319:29834876,16782118 (1,319:29834876,16782118:0,0,0 [1,319:29834876,16782118:27344508,16782118,0 (1,319:29834876,16782118:27344508,16782118,0 h1,319:29834876,16782118:0,0,0 r1,319:29834876,16782118:0,16782118,0 k1,319:57179384,16782118:27344508 g1,319:57179384,16782118 ) ] ) [1,319:29834876,16782118:0,16782118,0 (1,319:29834876,16192294:0,0,0 k1,319:29648397,16192294:-186479 g1,319:29834876,16192294 ) (1,319:29834876,16651046:0,0,0 k1,319:29648397,16651046:-186479 g1,319:29834876,16651046 ) ] ) ) ) (1,319:1310720,0:-2490368,0,0 g1,319:0,0 (1,319:0,0:0,0,0 [1,319:0,0:0,0,0 ] ) g1,319:-1179648,0 ) g1,319:-1179648,0 ) (1,319:1310720,0:27344508,0,0 h1,319:1310720,0:0,0,0 k1,319:28655228,0:27344508 g1,319:28655228,0 ) ] ) ) ] [1,319:1310720,16519974:27344508,16519974,0 [1,319:1310720,16519974:27344508,16519974,0 v1,319:1310720,0:0,0,0 v1,319:1310720,0:0,0,0 (1,319:1310720,1532292:27344508,655359,363719 h1,319:1310720,1532292:0,0,0 g1,319:2056580,1532292 $1,319:2056580,1532292 [1,319:2056580,1532292:6447883,568190,363719 (1,319:2056580,1532292:6447883,568190,174979 x1,319:2799744,1532292 g1,319:3018364,1532292 x1,319:5114975,1532292 x1,319:6199458,1532292 g1,319:6418078,1532292 x1,319:6985879,1532292 x1,319:8285843,1532292 g1,319:8504463,1532292 ) ] $1,319:8504463,1532292 x1,319:9144522,1532292 k1,319:18899875,1532292:9755353 k1,319:28655228,1532292:9755353 ) [1,319:1310720,2059851:0,0,0 [1,319:1310720,2059851:0,0,0 ] [1,319:1310720,2059851:0,0,0 ] ] v1,319:1310720,2059851:0,0,0 (1,319:1310720,13210666:27344508,10636684,0 k1,319:1372954,13210666:62234 (1,319:1372954,13210666:0,0,0 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1045274,13210666 (1,319:1045274,13210666:0,0,0 ) g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1045274,13210666 (1,319:1045274,13210666:0,0,0 ) g1,319:1372954,13210666 ) r1,319:1372954,13210666:0,10636684,0 g1,319:440615,13210666 g1,319:440615,13210666 g1,319:622798,13210666 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970007,10636710,0 (1,319:622798,13210666:27970007,10636710,0 (1,319:622798,13210666:0,10636710,0 (1,319:622798,13210666:0,20713361,0 (1,319:622798,13210666:54467297,20713361,0 ) k1,319:622799,13210666:-54467296 ) ) g1,319:28592805,13210666 ) ) ) ) ) ) ) g1,319:28592995,13210666 k1,319:28655228,13210666:62233 ) (1,319:2621442,14292010:26033786,483000,145816 (1,319:2621442,14292010:0,291271,0 g1,319:2621442,14292010 g1,319:1638400,14292010 g1,319:1310720,14292010 (1,319:1310720,14292010:983042,291271,0 k1,319:2293762,14292010:983042 (1,319:2293762,14292010:0,291271,0 k1,319:2075309,14292010:-218453 x1,319:2293762,14292010 ) ) g1,319:2621442,14292010 ) x1,319:3021866,14292010 x1,319:3635282,14292010 x1,319:3986554,14292010 g1,319:4168737,14292010 x1,319:4533113,14292010 x1,319:4993177,14292010 x1,319:5347729,14292010 x1,319:5655752,14292010 g1,319:5837935,14292010 x1,319:6202311,14292010 g1,319:6384494,14292010 x1,319:6602726,14292010 g1,319:6784909,14292010 x1,319:8423293,14292010 g1,319:8605476,14292010 x1,319:9880140,14292010 x1,319:10088531,14292010 g1,319:10270714,14292010 x1,319:10488946,14292010 x1,319:11227521,14292010 g1,319:11409704,14292010 x1,319:14105176,14292010 x1,319:14277535,14292010 g1,319:14459718,14292010 x1,319:15916566,14292010 g1,319:16098749,14292010 x1,319:17337365,14292010 g1,319:17519548,14292010 x1,319:17737780,14292010 x1,319:18658538,14292010 k1,319:23656883,14292010:4998345 k1,319:28655228,14292010:4998345 ) (1,319:2621442,15385836:26033786,430862,200671 (1,319:2621442,15385836:0,0,0 g1,319:2621442,15385836 g1,319:1638400,15385836 g1,319:1310720,15385836 (1,319:1310720,15385836:983042,0,0 k1,319:2293762,15385836:983042 (1,319:2293762,15385836:0,0,0 g1,319:2293762,15385836 ) ) g1,319:2621442,15385836 ) x1,319:2981823,15385836 x1,319:3488479,15385836 g1,319:3652444,15385836 x1,319:4078295,15385836 x1,319:4596744,15385836 x1,319:4900497,15385836 x1,319:5707962,15385836 g1,319:5871927,15385836 x1,319:6920620,15385836 g1,319:7084585,15385836 $1,319:7084585,15385836 x1,319:7498732,15385836 (1,319:7498732,15497306:448436,314369,89201 x1,319:7628554,15497306 x1,319:7914400,15497306 ) g1,319:8111008,15385836 x1,319:8603504,15385836 g1,319:8767344,15385836 x1,319:9683205,15385836 $1,319:9683205,15385836 x1,319:9847170,15385836 g1,319:10050058,15385836 x1,319:11230281,15385836 g1,319:11394246,15385836 x1,319:11886149,15385836 g1,319:12050114,15385836 x1,319:13164859,15385836 g1,319:13328824,15385836 x1,319:13787701,15385836 g1,319:13951666,15385836 x1,319:14279604,15385836 g1,319:14443569,15385836 x1,319:14738481,15385836 x1,319:15873884,15385836 x1,319:16029007,15385836 g1,319:16231895,15385836 x1,319:17674004,15385836 g1,319:17837969,15385836 x1,319:18985748,15385836 g1,319:19149713,15385836 x1,319:20329936,15385836 g1,319:20493901,15385836 x1,319:20657866,15385836 x1,319:21164522,15385836 g1,319:21328487,15385836 x1,319:22508710,15385836 x1,319:23393438,15385836 x1,319:23589847,15385836 g1,319:23753812,15385836 x1,319:24212689,15385836 g1,319:24376654,15385836 x1,319:25884815,15385836 k1,319:27270022,15385836:1385207 k1,319:28655228,15385836:1385206 ) ] ] (1,319:1310720,16782118:27344508,0,0 (1,319:1310720,16782118:27344508,0,0 [1,319:1310720,16782118:27344508,0,0 (1,319:1310720,16782118:27344508,0,0 (1,319:1310720,16782118:27344508,0,0 g1,319:0,16782118 (1,319:0,16782118:29834876,0,0 [1,319:0,16782118:29834876,0,0 (1,319:0,16782118:29834876,0,0 h1,319:0,16782118:0,0,0 $1,319:0,16782118 [1,319:0,16782118:20511477,-133806,-297646 (1,319:0,16411564:20511477,241500,72908 h1,319:0,16411564:0,0,0 k1,319:1305270,16411564:1305270 k1,319:1382640,16411564:77370 k1,319:1452107,16411564:69467 x1,319:2089439,16411564 x1,319:2453815,16411564 x1,319:2611103,16411564 k1,319:2680570,16411564:69467 x1,319:2789686,16411564 k1,319:2859153,16411564:69467 x1,319:3332321,16411564 x1,319:3842189,16411564 x1,319:4443149,16411564 k1,319:4512616,16411564:69467 x1,319:4785895,16411564 k1,319:4855362,16411564:69467 x1,319:5638181,16411564 k1,319:5707648,16411564:69467 x1,319:5980927,16411564 k1,319:6050394,16411564:69467 x1,319:6305326,16411564 k1,319:6374793,16411564:69467 x1,319:7321777,16411564 k1,319:7391244,16411564:69467 k1,319:27902721,16411564:20511477 k1,319:27972188,16411564:69467 x1,319:28154376,16411564 x1,319:28871653,16411564 k1,319:28871653,16411564:0 k1,319:28871653,16411564:0 ) ] $1,319:20511477,16782118 k1,319:29834876,16782118:9323399 g1,319:29834876,16782118 ) ] ) g1,319:28655228,16782118 ) g1,319:28655228,16782118 g1,319:28655228,16782118 ) h1,319:1310720,16782118:0,0,0 ] g1,319:28655228,16782118 ) ) ] ] ] !8148 }13 !10 {14 [1,319:4736286,16782118:23918942,12045832,0 (1,319:4736286,4736286:0,0,0 [1,319:0,4736286:0,0,0 (1,319:0,0:0,0,0 (1,319:0,4736286:0,0,0 k1,319:0,4736286:4736286 ) g1,319:0,0 ) ] ) [1,319:4736286,4736286:0,0,0 (1,319:4736286,-65781:0,0,0 k1,319:4736286,-65781:3491347 ) ] [1,319:4736286,16782118:23918942,12045832,0 [1,319:1310720,16782118:27344508,16782118,0 [1,319:1310720,0:27344508,0,0 (1,319:1310720,0:27344508,0,0 (1,319:1310720,0:27344508,0,0 [1,319:1310720,0:27344508,0,0 (1,319:1310720,0:-1310720,0,0 g1,319:0,0 (1,319:0,0:0,0,0 (1,319:0,16782118:29834876,16782118,0 (1,319:0,16782118:29834876,16782118,0 r1,319:29834876,16782118:29834876,16782118,0 ) ) ) (1,319:0,0:0,0,0 (1,319:0,0:0,0,0 (1,319:0,0:0,0,0 ) ) ) ) (1,319:1310720,0:-2490368,0,0 (1,319:1310720,0:0,0,0 (1,319:1310720,16782118:-1310720,16782118,0 (1,319:1310720,16782118:-1310720,16782118,0 g1,319:0,16782118 (1,319:0,16782118:0,0,0 [1,319:0,16782118:27344508,16782118,0 (1,319:0,16782118:27344508,16782118,0 h1,319:0,16782118:0,0,0 r1,319:0,16782118:0,16782118,0 k1,319:27344508,16782118:27344508 g1,319:27344508,16782118 ) ] ) [1,319:0,16782118:0,16782118,0 ] ) ) ) (1,319:1310720,0:0,0,0 (1,319:1310720,16782118:28524156,16782118,0 (1,319:1310720,16782118:28524156,16782118,0 g1,319:28655228,16782118 g1,319:29834876,16782118 (1,319:29834876,16782118:0,0,0 [1,319:29834876,16782118:27344508,16782118,0 (1,319:29834876,16782118:27344508,16782118,0 h1,319:29834876,16782118:0,0,0 r1,319:29834876,16782118:0,16782118,0 k1,319:57179384,16782118:27344508 g1,319:57179384,16782118 ) ] ) [1,319:29834876,16782118:0,16782118,0 (1,319:29834876,16192294:0,0,0 k1,319:29648397,16192294:-186479 g1,319:29834876,16192294 ) (1,319:29834876,16651046:0,0,0 k1,319:29648397,16651046:-186479 g1,319:29834876,16651046 ) ] ) ) ) (1,319:1310720,0:-2490368,0,0 g1,319:0,0 (1,319:0,0:0,0,0 [1,319:0,0:0,0,0 ] ) g1,319:-1179648,0 ) g1,319:-1179648,0 ) (1,319:1310720,0:27344508,0,0 h1,319:1310720,0:0,0,0 k1,319:28655228,0:27344508 g1,319:28655228,0 ) ] ) ) ] [1,319:1310720,16519974:27344508,16519974,0 [1,319:1310720,16519974:27344508,16519974,0 v1,319:1310720,0:0,0,0 v1,319:1310720,0:0,0,0 (1,319:1310720,1532292:27344508,655359,363719 h1,319:1310720,1532292:0,0,0 g1,319:2056580,1532292 $1,319:2056580,1532292 [1,319:2056580,1532292:6447883,568190,363719 (1,319:2056580,1532292:6447883,568190,174979 x1,319:2799744,1532292 g1,319:3018364,1532292 x1,319:5114975,1532292 x1,319:6199458,1532292 g1,319:6418078,1532292 x1,319:6985879,1532292 x1,319:8285843,1532292 g1,319:8504463,1532292 ) ] $1,319:8504463,1532292 x1,319:9144522,1532292 k1,319:18899875,1532292:9755353 k1,319:28655228,1532292:9755353 ) [1,319:1310720,2059851:0,0,0 [1,319:1310720,2059851:0,0,0 ] ] v1,319:1310720,2059851:0,0,0 (1,319:1310720,13210666:27344508,10636684,0 k1,319:1372954,13210666:62234 (1,319:1372954,13210666:0,0,0 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1045274,13210666 (1,319:1045274,13210666:0,0,0 ) g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1372954,13210666 g1,319:1045274,13210666 (1,319:1045274,13210666:0,0,0 ) g1,319:1372954,13210666 ) r1,319:1372954,13210666:0,10636684,0 g1,319:440615,13210666 g1,319:440615,13210666 g1,319:622798,13210666 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970197,10636684,0 (1,319:622798,13210666:27970007,10636710,0 (1,319:622798,13210666:27970007,10636710,0 (1,319:622798,13210666:0,10636710,0 (1,319:622798,13210666:0,20713361,0 (1,319:622798,13210666:54467297,20713361,0 ) k1,319:622799,13210666:-54467296 ) ) g1,319:28592805,13210666 ) ) ) ) ) ) ) g1,319:28592995,13210666 k1,319:28655228,13210666:62233 ) (1,319:2621442,14292010:26033786,483000,145816 (1,319:2621442,14292010:0,291271,0 g1,319:2621442,14292010 g1,319:1638400,14292010 g1,319:1310720,14292010 (1,319:1310720,14292010:983042,291271,0 k1,319:2293762,14292010:983042 (1,319:2293762,14292010:0,291271,0 k1,319:2075309,14292010:-218453 x1,319:2293762,14292010 ) ) g1,319:2621442,14292010 ) x1,319:3021866,14292010 x1,319:3635282,14292010 x1,319:3986554,14292010 g1,319:4168737,14292010 x1,319:4533113,14292010 x1,319:4993177,14292010 x1,319:5347729,14292010 x1,319:5655752,14292010 g1,319:5837935,14292010 x1,319:6202311,14292010 g1,319:6384494,14292010 x1,319:6602726,14292010 g1,319:6784909,14292010 x1,319:8423293,14292010 g1,319:8605476,14292010 x1,319:9880140,14292010 x1,319:10088531,14292010 g1,319:10270714,14292010 x1,319:10488946,14292010 x1,319:11227521,14292010 g1,319:11409704,14292010 x1,319:14105176,14292010 x1,319:14277535,14292010 g1,319:14459718,14292010 x1,319:15916566,14292010 g1,319:16098749,14292010 x1,319:17337365,14292010 g1,319:17519548,14292010 x1,319:17737780,14292010 x1,319:18658538,14292010 k1,319:23656883,14292010:4998345 k1,319:28655228,14292010:4998345 ) (1,319:2621442,15385836:26033786,430862,200671 (1,319:2621442,15385836:0,0,0 g1,319:2621442,15385836 g1,319:1638400,15385836 g1,319:1310720,15385836 (1,319:1310720,15385836:983042,0,0 k1,319:2293762,15385836:983042 (1,319:2293762,15385836:0,0,0 g1,319:2293762,15385836 ) ) g1,319:2621442,15385836 ) x1,319:2981823,15385836 x1,319:3488479,15385836 g1,319:3652444,15385836 x1,319:4078295,15385836 x1,319:4596744,15385836 x1,319:4900497,15385836 x1,319:5707962,15385836 g1,319:5871927,15385836 x1,319:6920620,15385836 g1,319:7084585,15385836 $1,319:7084585,15385836 x1,319:7498732,15385836 (1,319:7498732,15497306:448436,314369,89201 x1,319:7628554,15497306 x1,319:7914400,15497306 ) g1,319:8111008,15385836 x1,319:8603504,15385836 g1,319:8767344,15385836 x1,319:9683205,15385836 $1,319:9683205,15385836 x1,319:9847170,15385836 g1,319:10050058,15385836 x1,319:11230281,15385836 g1,319:11394246,15385836 x1,319:11886149,15385836 g1,319:12050114,15385836 x1,319:13164859,15385836 g1,319:13328824,15385836 x1,319:13787701,15385836 g1,319:13951666,15385836 x1,319:14279604,15385836 g1,319:14443569,15385836 x1,319:14738481,15385836 x1,319:15873884,15385836 x1,319:16029007,15385836 g1,319:16231895,15385836 x1,319:17674004,15385836 g1,319:17837969,15385836 x1,319:18985748,15385836 g1,319:19149713,15385836 x1,319:20329936,15385836 g1,319:20493901,15385836 x1,319:20657866,15385836 x1,319:21164522,15385836 g1,319:21328487,15385836 x1,319:22508710,15385836 x1,319:23393438,15385836 x1,319:23589847,15385836 g1,319:23753812,15385836 x1,319:24212689,15385836 g1,319:24376654,15385836 x1,319:25884815,15385836 k1,319:27270022,15385836:1385207 k1,319:28655228,15385836:1385206 ) ] ] (1,319:1310720,16782118:27344508,0,0 (1,319:1310720,16782118:27344508,0,0 [1,319:1310720,16782118:27344508,0,0 (1,319:1310720,16782118:27344508,0,0 (1,319:1310720,16782118:27344508,0,0 g1,319:0,16782118 (1,319:0,16782118:29834876,0,0 [1,319:0,16782118:29834876,0,0 (1,319:0,16782118:29834876,0,0 h1,319:0,16782118:0,0,0 $1,319:0,16782118 [1,319:0,16782118:20511477,-133806,-297646 (1,319:0,16411564:20511477,241500,72908 h1,319:0,16411564:0,0,0 k1,319:1305270,16411564:1305270 k1,319:1382640,16411564:77370 k1,319:1452107,16411564:69467 x1,319:2089439,16411564 x1,319:2453815,16411564 x1,319:2611103,16411564 k1,319:2680570,16411564:69467 x1,319:2789686,16411564 k1,319:2859153,16411564:69467 x1,319:3332321,16411564 x1,319:3842189,16411564 x1,319:4443149,16411564 k1,319:4512616,16411564:69467 x1,319:4785895,16411564 k1,319:4855362,16411564:69467 x1,319:5638181,16411564 k1,319:5707648,16411564:69467 x1,319:5980927,16411564 k1,319:6050394,16411564:69467 x1,319:6305326,16411564 k1,319:6374793,16411564:69467 x1,319:7321777,16411564 k1,319:7391244,16411564:69467 k1,319:27902721,16411564:20511477 k1,319:27972188,16411564:69467 x1,319:28154376,16411564 x1,319:28871653,16411564 k1,319:28871653,16411564:0 k1,319:28871653,16411564:0 ) ] $1,319:20511477,16782118 k1,319:29834876,16782118:9323399 g1,319:29834876,16782118 ) ] ) g1,319:28655228,16782118 ) g1,319:28655228,16782118 g1,319:28655228,16782118 ) h1,319:1310720,16782118:0,0,0 ] g1,319:28655228,16782118 ) ) ] ] ] !8117 }14 !10 {15 [1,342:4736286,16782118:23918942,12045832,0 (1,342:4736286,4736286:0,0,0 [1,342:0,4736286:0,0,0 (1,342:0,0:0,0,0 (1,342:0,4736286:0,0,0 k1,342:0,4736286:4736286 ) g1,342:0,0 ) ] ) [1,342:4736286,4736286:0,0,0 (1,342:4736286,-65781:0,0,0 k1,342:4736286,-65781:3491347 ) ] [1,342:4736286,16782118:23918942,12045832,0 [1,342:1310720,16782118:27344508,16782118,0 [1,342:1310720,0:27344508,0,0 (1,342:1310720,0:27344508,0,0 (1,342:1310720,0:27344508,0,0 [1,342:1310720,0:27344508,0,0 (1,342:1310720,0:-1310720,0,0 g1,342:0,0 (1,342:0,0:0,0,0 (1,342:0,16782118:29834876,16782118,0 (1,342:0,16782118:29834876,16782118,0 r1,342:29834876,16782118:29834876,16782118,0 ) ) ) (1,342:0,0:0,0,0 (1,342:0,0:0,0,0 (1,342:0,0:0,0,0 ) ) ) ) (1,342:1310720,0:-2490368,0,0 (1,342:1310720,0:0,0,0 (1,342:1310720,16782118:-1310720,16782118,0 (1,342:1310720,16782118:-1310720,16782118,0 g1,342:0,16782118 (1,342:0,16782118:0,0,0 [1,342:0,16782118:27344508,16782118,0 (1,342:0,16782118:27344508,16782118,0 h1,342:0,16782118:0,0,0 r1,342:0,16782118:0,16782118,0 k1,342:27344508,16782118:27344508 g1,342:27344508,16782118 ) ] ) [1,342:0,16782118:0,16782118,0 ] ) ) ) (1,342:1310720,0:0,0,0 (1,342:1310720,16782118:28524156,16782118,0 (1,342:1310720,16782118:28524156,16782118,0 g1,342:28655228,16782118 g1,342:29834876,16782118 (1,342:29834876,16782118:0,0,0 [1,342:29834876,16782118:27344508,16782118,0 (1,342:29834876,16782118:27344508,16782118,0 h1,342:29834876,16782118:0,0,0 r1,342:29834876,16782118:0,16782118,0 k1,342:57179384,16782118:27344508 g1,342:57179384,16782118 ) ] ) [1,342:29834876,16782118:0,16782118,0 (1,342:29834876,16192294:0,0,0 k1,342:29648397,16192294:-186479 g1,342:29834876,16192294 ) (1,342:29834876,16651046:0,0,0 k1,342:29648397,16651046:-186479 g1,342:29834876,16651046 ) ] ) ) ) (1,342:1310720,0:-2490368,0,0 g1,342:0,0 (1,342:0,0:0,0,0 [1,342:0,0:0,0,0 ] ) g1,342:-1179648,0 ) g1,342:-1179648,0 ) (1,342:1310720,0:27344508,0,0 h1,342:1310720,0:0,0,0 k1,342:28655228,0:27344508 g1,342:28655228,0 ) ] ) ) ] [1,342:1310720,16519974:27344508,16519974,0 [1,342:1310720,16519974:27344508,16519974,0 v1,342:1310720,0:0,0,0 v1,342:1310720,0:0,0,0 (1,342:1310720,1532292:27344508,671408,393998 h1,342:1310720,1532292:0,0,0 g1,342:2056580,1532292 $1,342:2056580,1532292 [1,342:2056580,1532292:15339419,671408,393998 (1,342:2056580,1532292:15339419,671408,205258 x1,342:2799744,1532292 g1,342:3018364,1532292 x1,342:5114975,1532292 x1,342:6199458,1532292 g1,342:6418078,1532292 x1,342:6985879,1532292 x1,342:8285843,1532292 g1,342:8504463,1532292 x1,342:8766342,1532292 g1,342:8984962,1532292 $1,342:8984962,1532292 x1,342:9337283,1532292 g1,342:9583258,1532292 x1,342:10239920,1532292 g1,342:10458370,1532292 x1,342:10843390,1532292 g1,342:10996305,1532292 x1,342:11652967,1532292 g1,342:11805882,1532292 x1,342:12528281,1532292 g1,342:12681196,1532292 x1,342:13337858,1532292 g1,342:13490773,1532292 x1,342:13894212,1532292 x1,342:14294505,1532292 (1,342:14294505,1246818:638972,385934,0 x1,342:14900709,1246818 ) g1,342:15151927,1532292 x1,342:15808589,1532292 g1,342:16027039,1532292 x1,342:17395999,1532292 $1,342:17395999,1532292 ) ] $1,342:17395999,1532292 x1,342:18036058,1532292 k1,342:23345643,1532292:5309585 k1,342:28655228,1532292:5309585 ) [1,342:1310720,2090130:0,0,0 [1,342:1310720,2090130:0,0,0 ] [1,342:1310720,2090130:0,0,0 ] ] v1,342:1310720,2090130:0,0,0 (1,342:1310720,13240945:27344508,10636684,0 k1,342:1372954,13240945:62234 (1,342:1372954,13240945:0,0,0 g1,342:1372954,13240945 g1,342:1372954,13240945 g1,342:1372954,13240945 g1,342:1045274,13240945 (1,342:1045274,13240945:0,0,0 ) g1,342:1372954,13240945 g1,342:1372954,13240945 g1,342:1372954,13240945 g1,342:1372954,13240945 g1,342:1045274,13240945 (1,342:1045274,13240945:0,0,0 ) g1,342:1372954,13240945 ) r1,342:1372954,13240945:0,10636684,0 g1,342:440615,13240945 g1,342:440615,13240945 g1,342:622798,13240945 (1,342:622798,13240945:27970197,10636684,0 (1,342:622798,13240945:27970197,10636684,0 (1,342:622798,13240945:27970197,10636684,0 (1,342:622798,13240945:27970197,10636684,0 (1,342:622798,13240945:27970197,10636684,0 (1,342:622798,13240945:27970007,10636710,0 (1,342:622798,13240945:27970007,10636710,0 (1,342:622798,13240945:0,10636710,0 (1,342:622798,13240945:0,20713361,0 (1,342:622798,13240945:54467297,20713361,0 ) k1,342:622799,13240945:-54467296 ) ) g1,342:28592805,13240945 ) ) ) ) ) ) ) g1,342:28592995,13240945 k1,342:28655228,13240945:62233 ) (1,342:2621442,14322289:26033786,478735,145816 (1,342:2621442,14322289:0,291271,0 g1,342:2621442,14322289 g1,342:1638400,14322289 g1,342:1310720,14322289 (1,342:1310720,14322289:983042,291271,0 k1,342:2293762,14322289:983042 (1,342:2293762,14322289:0,291271,0 k1,342:2075309,14322289:-218453 x1,342:2293762,14322289 ) ) g1,342:2621442,14322289 ) x1,342:3021866,14322289 x1,342:3635282,14322289 x1,342:3986554,14322289 g1,342:4168737,14322289 x1,342:4533113,14322289 x1,342:4993177,14322289 x1,342:5347729,14322289 x1,342:5655752,14322289 g1,342:5837935,14322289 x1,342:6202311,14322289 g1,342:6384494,14322289 x1,342:6602726,14322289 g1,342:6784909,14322289 x1,342:7986829,14322289 x1,342:8847964,14322289 g1,342:9030147,14322289 x1,342:9540011,14322289 g1,342:9722194,14322289 x1,342:10815312,14322289 g1,342:10997495,14322289 x1,342:12236111,14322289 g1,342:12418294,14322289 x1,342:12636526,14322289 x1,342:13375101,14322289 g1,342:13557284,14322289 x1,342:14613716,14322289 g1,342:14795899,14322289 x1,342:15524651,14322289 g1,342:15706834,14322289 x1,342:16071210,14322289 g1,342:16253393,14322289 x1,342:16945440,14322289 g1,342:17127623,14322289 x1,342:18402287,14322289 x1,342:19193286,14322289 x1,342:19342700,14322289 g1,342:19524883,14322289 x1,342:19889259,14322289 x1,342:20422714,14322289 g1,342:20604897,14322289 x1,342:21551224,14322289 g1,342:21733407,14322289 x1,342:23262351,14322289 g1,342:23444534,14322289 x1,342:24719198,14322289 x1,342:24927589,14322289 g1,342:25109772,14322289 x1,342:25328004,14322289 x1,342:26066579,14322289 g1,342:26248762,14322289 x1,342:26613138,14322289 x1,342:26977514,14322289 x1,342:27832096,14322289 k1,342:28243662,14322289:411566 k1,342:28655228,14322289:411566 ) (1,342:2621442,15416115:26033786,434700,131234 (1,342:2621442,15416115:0,0,0 g1,342:2621442,15416115 g1,342:1638400,15416115 g1,342:1310720,15416115 (1,342:1310720,15416115:983042,0,0 k1,342:2293762,15416115:983042 (1,342:2293762,15416115:0,0,0 g1,342:2293762,15416115 ) ) g1,342:2621442,15416115 ) x1,342:3834108,15416115 g1,342:3998073,15416115 x1,342:4981887,15416115 g1,342:5145852,15416115 x1,342:5637755,15416115 g1,342:5801720,15416115 x1,342:6981944,15416115 g1,342:7145909,15416115 x1,342:10358639,15416115 g1,342:10522604,15416115 x1,342:10719013,15416115 x1,342:11991833,15416115 g1,342:12194721,15416115 x1,342:15078931,15416115 g1,342:15242896,15416115 x1,342:16423119,15416115 x1,342:16587084,15416115 g1,342:16751049,15416115 x1,342:17570308,15416115 x1,342:18636699,15416115 g1,342:18800664,15416115 x1,342:19226507,15416115 x1,342:19536754,15416115 g1,342:19700719,15416115 x1,342:20028657,15416115 g1,342:20192622,15416115 x1,342:20487534,15416115 x1,342:21622937,15416115 k1,342:25139083,15416115:3516146 k1,342:28655228,15416115:3516145 ) ] ] (1,342:1310720,16782118:27344508,0,0 (1,342:1310720,16782118:27344508,0,0 [1,342:1310720,16782118:27344508,0,0 (1,342:1310720,16782118:27344508,0,0 (1,342:1310720,16782118:27344508,0,0 g1,342:0,16782118 (1,342:0,16782118:29834876,0,0 [1,342:0,16782118:29834876,0,0 (1,342:0,16782118:29834876,0,0 h1,342:0,16782118:0,0,0 $1,342:0,16782118 [1,342:0,16782118:20511477,-133806,-297646 (1,342:0,16411564:20511477,241500,72908 h1,342:0,16411564:0,0,0 k1,342:1305270,16411564:1305270 k1,342:1382640,16411564:77370 k1,342:1452107,16411564:69467 x1,342:2089439,16411564 x1,342:2453815,16411564 x1,342:2611103,16411564 k1,342:2680570,16411564:69467 x1,342:2789686,16411564 k1,342:2859153,16411564:69467 x1,342:3332321,16411564 x1,342:3842189,16411564 x1,342:4443149,16411564 k1,342:4512616,16411564:69467 x1,342:4785895,16411564 k1,342:4855362,16411564:69467 x1,342:5638181,16411564 k1,342:5707648,16411564:69467 x1,342:5980927,16411564 k1,342:6050394,16411564:69467 x1,342:6305326,16411564 k1,342:6374793,16411564:69467 x1,342:7321777,16411564 k1,342:7391244,16411564:69467 k1,342:27902721,16411564:20511477 k1,342:27972188,16411564:69467 x1,342:28154376,16411564 x1,342:28871653,16411564 k1,342:28871653,16411564:0 k1,342:28871653,16411564:0 ) ] $1,342:20511477,16782118 k1,342:29834876,16782118:9323399 g1,342:29834876,16782118 ) ] ) g1,342:28655228,16782118 ) g1,342:28655228,16782118 g1,342:28655228,16782118 ) h1,342:1310720,16782118:0,0,0 ] g1,342:28655228,16782118 ) ) ] ] ] !8703 }15 !10 {16 [1,363:4736286,16782118:23918942,12045832,0 (1,363:4736286,4736286:0,0,0 [1,363:0,4736286:0,0,0 (1,363:0,0:0,0,0 (1,363:0,4736286:0,0,0 k1,363:0,4736286:4736286 ) g1,363:0,0 ) ] ) [1,363:4736286,4736286:0,0,0 (1,363:4736286,-65781:0,0,0 k1,363:4736286,-65781:3491347 ) ] [1,363:4736286,16782118:23918942,12045832,0 [1,363:1310720,16782118:27344508,16782118,0 [1,363:1310720,0:27344508,0,0 (1,363:1310720,0:27344508,0,0 (1,363:1310720,0:27344508,0,0 [1,363:1310720,0:27344508,0,0 (1,363:1310720,0:-1310720,0,0 g1,363:0,0 (1,363:0,0:0,0,0 (1,363:0,16782118:29834876,16782118,0 (1,363:0,16782118:29834876,16782118,0 r1,363:29834876,16782118:29834876,16782118,0 ) ) ) (1,363:0,0:0,0,0 (1,363:0,0:0,0,0 (1,363:0,0:0,0,0 ) ) ) ) (1,363:1310720,0:-2490368,0,0 (1,363:1310720,0:0,0,0 (1,363:1310720,16782118:-1310720,16782118,0 (1,363:1310720,16782118:-1310720,16782118,0 g1,363:0,16782118 (1,363:0,16782118:0,0,0 [1,363:0,16782118:27344508,16782118,0 (1,363:0,16782118:27344508,16782118,0 h1,363:0,16782118:0,0,0 r1,363:0,16782118:0,16782118,0 k1,363:27344508,16782118:27344508 g1,363:27344508,16782118 ) ] ) [1,363:0,16782118:0,16782118,0 ] ) ) ) (1,363:1310720,0:0,0,0 (1,363:1310720,16782118:28524156,16782118,0 (1,363:1310720,16782118:28524156,16782118,0 g1,363:28655228,16782118 g1,363:29834876,16782118 (1,363:29834876,16782118:0,0,0 [1,363:29834876,16782118:27344508,16782118,0 (1,363:29834876,16782118:27344508,16782118,0 h1,363:29834876,16782118:0,0,0 r1,363:29834876,16782118:0,16782118,0 k1,363:57179384,16782118:27344508 g1,363:57179384,16782118 ) ] ) [1,363:29834876,16782118:0,16782118,0 (1,363:29834876,16192294:0,0,0 k1,363:29648397,16192294:-186479 g1,363:29834876,16192294 ) (1,363:29834876,16651046:0,0,0 k1,363:29648397,16651046:-186479 g1,363:29834876,16651046 ) ] ) ) ) (1,363:1310720,0:-2490368,0,0 g1,363:0,0 (1,363:0,0:0,0,0 [1,363:0,0:0,0,0 ] ) g1,363:-1179648,0 ) g1,363:-1179648,0 ) (1,363:1310720,0:27344508,0,0 h1,363:1310720,0:0,0,0 k1,363:28655228,0:27344508 g1,363:28655228,0 ) ] ) ) ] [1,363:1310720,16519974:27344508,16519974,0 [1,363:1310720,16519974:27344508,16519974,0 v1,363:1310720,0:0,0,0 v1,363:1310720,0:0,0,0 (1,363:1310720,1532292:27344508,655359,363719 h1,363:1310720,1532292:0,0,0 g1,363:2056580,1532292 $1,363:2056580,1532292 [1,363:2056580,1532292:15134683,579600,363719 (1,363:2056580,1532292:15134683,579600,174979 x1,363:2799744,1532292 g1,363:3018364,1532292 x1,363:5114975,1532292 x1,363:6199458,1532292 g1,363:6418078,1532292 x1,363:6985879,1532292 x1,363:8285843,1532292 g1,363:8504463,1532292 x1,363:8766342,1532292 g1,363:8984962,1532292 x1,363:9640833,1532292 x1,363:11739007,1532292 g1,363:11957627,1532292 x1,363:12416900,1532292 g1,363:12635520,1532292 x1,363:13946486,1532292 x1,363:15158369,1532292 g1,363:15376989,1532292 x1,363:15836262,1532292 g1,363:16054882,1532292 x1,363:17191263,1532292 ) ] $1,363:17191263,1532292 x1,363:17831322,1532292 k1,363:23243275,1532292:5411953 k1,363:28655228,1532292:5411953 ) [1,363:1310720,2059851:0,0,0 [1,363:1310720,2059851:0,0,0 ] [1,363:1310720,2059851:0,0,0 ] ] v1,363:1310720,2059851:0,0,0 (1,363:1310720,13210666:27344508,10636684,0 k1,363:1372954,13210666:62234 (1,363:1372954,13210666:0,0,0 g1,363:1372954,13210666 g1,363:1372954,13210666 g1,363:1372954,13210666 g1,363:1045274,13210666 (1,363:1045274,13210666:0,0,0 ) g1,363:1372954,13210666 g1,363:1372954,13210666 g1,363:1372954,13210666 g1,363:1372954,13210666 g1,363:1045274,13210666 (1,363:1045274,13210666:0,0,0 ) g1,363:1372954,13210666 ) r1,363:1372954,13210666:0,10636684,0 g1,363:440615,13210666 g1,363:440615,13210666 g1,363:622798,13210666 (1,363:622798,13210666:27970197,10636684,0 (1,363:622798,13210666:27970197,10636684,0 (1,363:622798,13210666:27970197,10636684,0 (1,363:622798,13210666:27970197,10636684,0 (1,363:622798,13210666:27970197,10636684,0 (1,363:622798,13210666:27970007,10636710,0 (1,363:622798,13210666:27970007,10636710,0 (1,363:622798,13210666:0,10636710,0 (1,363:622798,13210666:0,20713361,0 (1,363:622798,13210666:54467297,20713361,0 ) k1,363:622799,13210666:-54467296 ) ) g1,363:28592805,13210666 ) ) ) ) ) ) ) g1,363:28592995,13210666 k1,363:28655228,13210666:62233 ) (1,363:2621442,14292010:26033786,478735,145816 (1,363:2621442,14292010:0,291271,0 g1,363:2621442,14292010 g1,363:1638400,14292010 g1,363:1310720,14292010 (1,363:1310720,14292010:983042,291271,0 k1,363:2293762,14292010:983042 (1,363:2293762,14292010:0,291271,0 k1,363:2075309,14292010:-218453 x1,363:2293762,14292010 ) ) g1,363:2621442,14292010 ) x1,363:3021866,14292010 x1,363:3635282,14292010 x1,363:3986554,14292010 g1,363:4168737,14292010 x1,363:4533113,14292010 x1,363:4993177,14292010 x1,363:5347729,14292010 x1,363:5655752,14292010 g1,363:5837935,14292010 x1,363:6202311,14292010 g1,363:6384494,14292010 x1,363:6602726,14292010 g1,363:6784909,14292010 x1,363:8242413,14292010 g1,363:8424596,14292010 x1,363:8642828,14292010 x1,363:9381403,14292010 g1,363:9563586,14292010 x1,363:10509913,14292010 g1,363:10692096,14292010 x1,363:11930712,14292010 g1,363:12112895,14292010 x1,363:12331127,14292010 $1,363:12331127,14292010 x1,363:12878345,14292010 $1,363:12878345,14292010 g1,363:13060528,14292010 x1,363:13789280,14292010 g1,363:13971463,14292010 x1,363:14299143,14292010 x1,363:15961118,14292010 g1,363:16143301,14292010 x1,363:17417965,14292010 x1,363:17844588,14292010 x1,363:18062820,14292010 x1,363:18801395,14292010 g1,363:18983578,14292010 x1,363:19929905,14292010 g1,363:20112088,14292010 x1,363:21641032,14292010 g1,363:21823215,14292010 x1,363:22916343,14292010 g1,363:23098526,14292010 x1,363:24409886,14292010 x1,363:25684541,14292010 g1,363:25866724,14292010 x1,363:26413283,14292010 g1,363:26595466,14292010 x1,363:28453385,14292010 k1,363:28554307,14292010:100922 k1,363:28655228,14292010:100921 ) ] ] (1,363:1310720,16782118:27344508,0,0 (1,363:1310720,16782118:27344508,0,0 [1,363:1310720,16782118:27344508,0,0 (1,363:1310720,16782118:27344508,0,0 (1,363:1310720,16782118:27344508,0,0 g1,363:0,16782118 (1,363:0,16782118:29834876,0,0 [1,363:0,16782118:29834876,0,0 (1,363:0,16782118:29834876,0,0 h1,363:0,16782118:0,0,0 $1,363:0,16782118 [1,363:0,16782118:20511477,-133806,-297646 (1,363:0,16411564:20511477,241500,72908 h1,363:0,16411564:0,0,0 k1,363:1305270,16411564:1305270 k1,363:1382640,16411564:77370 k1,363:1452107,16411564:69467 x1,363:2089439,16411564 x1,363:2453815,16411564 x1,363:2611103,16411564 k1,363:2680570,16411564:69467 x1,363:2789686,16411564 k1,363:2859153,16411564:69467 x1,363:3332321,16411564 x1,363:3842189,16411564 x1,363:4443149,16411564 k1,363:4512616,16411564:69467 x1,363:4785895,16411564 k1,363:4855362,16411564:69467 x1,363:5638181,16411564 k1,363:5707648,16411564:69467 x1,363:5980927,16411564 k1,363:6050394,16411564:69467 x1,363:6305326,16411564 k1,363:6374793,16411564:69467 x1,363:7321777,16411564 k1,363:7391244,16411564:69467 k1,363:27902721,16411564:20511477 k1,363:27972188,16411564:69467 x1,363:28154376,16411564 x1,363:28871653,16411564 k1,363:28871653,16411564:0 k1,363:28871653,16411564:0 ) ] $1,363:20511477,16782118 k1,363:29834876,16782118:9323399 g1,363:29834876,16782118 ) ] ) g1,363:28655228,16782118 ) g1,363:28655228,16782118 g1,363:28655228,16782118 ) h1,363:1310720,16782118:0,0,0 ] g1,363:28655228,16782118 ) ) ] ] ] !7264 }16 !10 {17 [1,384:4736286,16782118:23918942,12045832,0 (1,384:4736286,4736286:0,0,0 [1,384:0,4736286:0,0,0 (1,384:0,0:0,0,0 (1,384:0,4736286:0,0,0 k1,384:0,4736286:4736286 ) g1,384:0,0 ) ] ) [1,384:4736286,4736286:0,0,0 (1,384:4736286,-65781:0,0,0 k1,384:4736286,-65781:3491347 ) ] [1,384:4736286,16782118:23918942,12045832,0 [1,384:1310720,16782118:27344508,16782118,0 [1,384:1310720,0:27344508,0,0 (1,384:1310720,0:27344508,0,0 (1,384:1310720,0:27344508,0,0 [1,384:1310720,0:27344508,0,0 (1,384:1310720,0:-1310720,0,0 g1,384:0,0 (1,384:0,0:0,0,0 (1,384:0,16782118:29834876,16782118,0 (1,384:0,16782118:29834876,16782118,0 r1,384:29834876,16782118:29834876,16782118,0 ) ) ) (1,384:0,0:0,0,0 (1,384:0,0:0,0,0 (1,384:0,0:0,0,0 ) ) ) ) (1,384:1310720,0:-2490368,0,0 (1,384:1310720,0:0,0,0 (1,384:1310720,16782118:-1310720,16782118,0 (1,384:1310720,16782118:-1310720,16782118,0 g1,384:0,16782118 (1,384:0,16782118:0,0,0 [1,384:0,16782118:27344508,16782118,0 (1,384:0,16782118:27344508,16782118,0 h1,384:0,16782118:0,0,0 r1,384:0,16782118:0,16782118,0 k1,384:27344508,16782118:27344508 g1,384:27344508,16782118 ) ] ) [1,384:0,16782118:0,16782118,0 ] ) ) ) (1,384:1310720,0:0,0,0 (1,384:1310720,16782118:28524156,16782118,0 (1,384:1310720,16782118:28524156,16782118,0 g1,384:28655228,16782118 g1,384:29834876,16782118 (1,384:29834876,16782118:0,0,0 [1,384:29834876,16782118:27344508,16782118,0 (1,384:29834876,16782118:27344508,16782118,0 h1,384:29834876,16782118:0,0,0 r1,384:29834876,16782118:0,16782118,0 k1,384:57179384,16782118:27344508 g1,384:57179384,16782118 ) ] ) [1,384:29834876,16782118:0,16782118,0 (1,384:29834876,16192294:0,0,0 k1,384:29648397,16192294:-186479 g1,384:29834876,16192294 ) (1,384:29834876,16651046:0,0,0 k1,384:29648397,16651046:-186479 g1,384:29834876,16651046 ) ] ) ) ) (1,384:1310720,0:-2490368,0,0 g1,384:0,0 (1,384:0,0:0,0,0 [1,384:0,0:0,0,0 ] ) g1,384:-1179648,0 ) g1,384:-1179648,0 ) (1,384:1310720,0:27344508,0,0 h1,384:1310720,0:0,0,0 k1,384:28655228,0:27344508 g1,384:28655228,0 ) ] ) ) ] [1,384:1310720,16519974:27344508,16519974,0 [1,384:1310720,16519974:27344508,16519974,0 v1,384:1310720,0:0,0,0 v1,384:1310720,0:0,0,0 (1,384:1310720,1532292:27344508,655359,357028 h1,384:1310720,1532292:0,0,0 g1,384:2056580,1532292 $1,384:2056580,1532292 [1,384:2056580,1532292:3130749,579600,357028 (1,384:2056580,1532292:3130749,579600,168288 x1,384:2581124,1532292 x1,384:2784019,1532292 g1,384:3002639,1532292 x1,384:4794113,1532292 x1,384:5187329,1532292 ) ] $1,384:5187329,1532292 x1,384:5827388,1532292 k1,384:17241308,1532292:11413920 k1,384:28655228,1532292:11413920 ) [1,384:1310720,2053160:0,0,0 [1,384:1310720,2053160:0,0,0 ] [1,384:1310720,2053160:0,0,0 ] ] v1,384:1310720,2053160:0,0,0 (1,384:1310720,13203975:27344508,10636684,0 k1,384:1372954,13203975:62234 (1,384:1372954,13203975:0,0,0 g1,384:1372954,13203975 g1,384:1372954,13203975 g1,384:1372954,13203975 g1,384:1045274,13203975 (1,384:1045274,13203975:0,0,0 ) g1,384:1372954,13203975 g1,384:1372954,13203975 g1,384:1372954,13203975 g1,384:1372954,13203975 g1,384:1045274,13203975 (1,384:1045274,13203975:0,0,0 ) g1,384:1372954,13203975 ) r1,384:1372954,13203975:0,10636684,0 g1,384:440615,13203975 g1,384:440615,13203975 g1,384:622798,13203975 (1,384:622798,13203975:27970197,10636684,0 (1,384:622798,13203975:27970197,10636684,0 (1,384:622798,13203975:27970197,10636684,0 (1,384:622798,13203975:27970197,10636684,0 (1,384:622798,13203975:27970197,10636684,0 (1,384:622798,13203975:27970007,10636710,0 (1,384:622798,13203975:27970007,10636710,0 (1,384:622798,13203975:0,10636710,0 (1,384:622798,13203975:0,20713361,0 (1,384:622798,13203975:54467297,20713361,0 ) k1,384:622799,13203975:-54467296 ) ) g1,384:28592805,13203975 ) ) ) ) ) ) ) g1,384:28592995,13203975 k1,384:28655228,13203975:62233 ) (1,384:2621442,14285319:26033786,483000,145816 (1,384:2621442,14285319:0,291271,0 g1,384:2621442,14285319 g1,384:1638400,14285319 g1,384:1310720,14285319 (1,384:1310720,14285319:983042,291271,0 k1,384:2293762,14285319:983042 (1,384:2293762,14285319:0,291271,0 k1,384:2075309,14285319:-218453 x1,384:2293762,14285319 ) ) g1,384:2621442,14285319 ) x1,384:3021866,14285319 x1,384:3635282,14285319 x1,384:3986554,14285319 g1,384:4168737,14285319 x1,384:4533113,14285319 x1,384:4993177,14285319 x1,384:5347729,14285319 x1,384:5655752,14285319 g1,384:5837935,14285319 x1,384:6202311,14285319 g1,384:6384494,14285319 x1,384:6602726,14285319 g1,384:6784909,14285319 x1,384:8496693,14285319 g1,384:8678876,14285319 x1,384:10026284,14285319 g1,384:10208467,14285319 x1,384:11774763,14285319 g1,384:11956946,14285319 x1,384:12139129,14285319 x1,384:12702080,14285319 g1,384:12884263,14285319 x1,384:14377158,14285319 g1,384:14559341,14285319 x1,384:15105890,14285319 g1,384:15331321,14285319 x1,384:16788825,14285319 x1,384:17626368,14285319 g1,384:17808551,14285319 x1,384:19083862,14285319 g1,384:19266045,14285319 x1,384:19775909,14285319 g1,384:19958092,14285319 x1,384:21232746,14285319 g1,384:21414929,14285319 x1,384:22616849,14285319 g1,384:22799032,14285319 x1,384:23527784,14285319 x1,384:23991128,14285319 g1,384:24173311,14285319 x1,384:24683175,14285319 g1,384:24865358,14285319 x1,384:25885085,14285319 k1,384:27270157,14285319:1385072 k1,384:28655228,14285319:1385071 ) ] ] (1,384:1310720,16782118:27344508,0,0 (1,384:1310720,16782118:27344508,0,0 [1,384:1310720,16782118:27344508,0,0 (1,384:1310720,16782118:27344508,0,0 (1,384:1310720,16782118:27344508,0,0 g1,384:0,16782118 (1,384:0,16782118:29834876,0,0 [1,384:0,16782118:29834876,0,0 (1,384:0,16782118:29834876,0,0 h1,384:0,16782118:0,0,0 $1,384:0,16782118 [1,384:0,16782118:20511477,-133806,-297646 (1,384:0,16411564:20511477,241500,72908 h1,384:0,16411564:0,0,0 k1,384:1305270,16411564:1305270 k1,384:1382640,16411564:77370 k1,384:1452107,16411564:69467 x1,384:2089439,16411564 x1,384:2453815,16411564 x1,384:2611103,16411564 k1,384:2680570,16411564:69467 x1,384:2789686,16411564 k1,384:2859153,16411564:69467 x1,384:3332321,16411564 x1,384:3842189,16411564 x1,384:4443149,16411564 k1,384:4512616,16411564:69467 x1,384:4785895,16411564 k1,384:4855362,16411564:69467 x1,384:5638181,16411564 k1,384:5707648,16411564:69467 x1,384:5980927,16411564 k1,384:6050394,16411564:69467 x1,384:6305326,16411564 k1,384:6374793,16411564:69467 x1,384:7321777,16411564 k1,384:7391244,16411564:69467 k1,384:27902721,16411564:20511477 k1,384:27972188,16411564:69467 x1,384:28154376,16411564 x1,384:28871653,16411564 k1,384:28871653,16411564:0 k1,384:28871653,16411564:0 ) ] $1,384:20511477,16782118 k1,384:29834876,16782118:9323399 g1,384:29834876,16782118 ) ] ) g1,384:28655228,16782118 ) g1,384:28655228,16782118 g1,384:28655228,16782118 ) h1,384:1310720,16782118:0,0,0 ] g1,384:28655228,16782118 ) ) ] ] ] !6739 }17 !10 {18 [1,408:4736286,16782118:23918942,12045832,0 (1,408:4736286,4736286:0,0,0 [1,408:0,4736286:0,0,0 (1,408:0,0:0,0,0 (1,408:0,4736286:0,0,0 k1,408:0,4736286:4736286 ) g1,408:0,0 ) ] ) [1,408:4736286,4736286:0,0,0 (1,408:4736286,-65781:0,0,0 k1,408:4736286,-65781:3491347 ) ] [1,408:4736286,16782118:23918942,12045832,0 [1,408:1310720,16782118:27344508,16782118,0 [1,408:1310720,0:27344508,0,0 (1,408:1310720,0:27344508,0,0 (1,408:1310720,0:27344508,0,0 [1,408:1310720,0:27344508,0,0 (1,408:1310720,0:-1310720,0,0 g1,408:0,0 (1,408:0,0:0,0,0 (1,408:0,16782118:29834876,16782118,0 (1,408:0,16782118:29834876,16782118,0 r1,408:29834876,16782118:29834876,16782118,0 ) ) ) (1,408:0,0:0,0,0 (1,408:0,0:0,0,0 (1,408:0,0:0,0,0 ) ) ) ) (1,408:1310720,0:-2490368,0,0 (1,408:1310720,0:0,0,0 (1,408:1310720,16782118:-1310720,16782118,0 (1,408:1310720,16782118:-1310720,16782118,0 g1,408:0,16782118 (1,408:0,16782118:0,0,0 [1,408:0,16782118:27344508,16782118,0 (1,408:0,16782118:27344508,16782118,0 h1,408:0,16782118:0,0,0 r1,408:0,16782118:0,16782118,0 k1,408:27344508,16782118:27344508 g1,408:27344508,16782118 ) ] ) [1,408:0,16782118:0,16782118,0 ] ) ) ) (1,408:1310720,0:0,0,0 (1,408:1310720,16782118:28524156,16782118,0 (1,408:1310720,16782118:28524156,16782118,0 g1,408:28655228,16782118 g1,408:29834876,16782118 (1,408:29834876,16782118:0,0,0 [1,408:29834876,16782118:27344508,16782118,0 (1,408:29834876,16782118:27344508,16782118,0 h1,408:29834876,16782118:0,0,0 r1,408:29834876,16782118:0,16782118,0 k1,408:57179384,16782118:27344508 g1,408:57179384,16782118 ) ] ) [1,408:29834876,16782118:0,16782118,0 (1,408:29834876,16192294:0,0,0 k1,408:29648397,16192294:-186479 g1,408:29834876,16192294 ) (1,408:29834876,16651046:0,0,0 k1,408:29648397,16651046:-186479 g1,408:29834876,16651046 ) ] ) ) ) (1,408:1310720,0:-2490368,0,0 g1,408:0,0 (1,408:0,0:0,0,0 [1,408:0,0:0,0,0 ] ) g1,408:-1179648,0 ) g1,408:-1179648,0 ) (1,408:1310720,0:27344508,0,0 h1,408:1310720,0:0,0,0 k1,408:28655228,0:27344508 g1,408:28655228,0 ) ] ) ) ] [1,408:1310720,16519974:27344508,16519974,0 [1,408:1310720,16519974:27344508,16519974,0 v1,408:1310720,0:0,0,0 v1,408:1310720,0:0,0,0 (1,408:1310720,1532292:27344508,655359,357028 h1,408:1310720,1532292:0,0,0 g1,408:2056580,1532292 $1,408:2056580,1532292 [1,408:2056580,1532292:16082345,579600,357028 (1,408:2056580,1532292:16082345,579600,168288 x1,408:5464944,1532292 g1,408:5683564,1532292 x1,408:6120815,1532292 g1,408:6339435,1532292 x1,408:6601314,1532292 g1,408:6819934,1532292 x1,408:8655443,1532292 g1,408:8874063,1532292 x1,408:10010444,1532292 g1,408:10229064,1532292 x1,408:11322186,1532292 g1,408:11540806,1532292 x1,408:17745709,1532292 x1,408:18138925,1532292 ) ] $1,408:18138925,1532292 x1,408:18778984,1532292 k1,408:23717106,1532292:4938122 k1,408:28655228,1532292:4938122 ) [1,408:1310720,2053160:0,0,0 [1,408:1310720,2053160:0,0,0 ] [1,408:1310720,2053160:0,0,0 ] ] v1,408:1310720,2053160:0,0,0 (1,408:1310720,12910355:27344508,10343064,0 k1,408:1565849,12910355:255129 (1,408:1565849,12910355:0,0,0 g1,408:1565849,12910355 g1,408:1565849,12910355 g1,408:1565849,12910355 g1,408:1238169,12910355 (1,408:1238169,12910355:0,0,0 ) g1,408:1565849,12910355 g1,408:1565849,12910355 g1,408:1565849,12910355 g1,408:1565849,12910355 g1,408:1238169,12910355 (1,408:1238169,12910355:0,0,0 ) g1,408:1565849,12910355 ) r1,408:1565849,12910355:0,10343064,0 g1,408:633510,12910355 g1,408:633510,12910355 g1,408:815693,12910355 (1,408:815693,12910355:13985098,10343064,0 (1,408:815693,12910355:13985098,10343064,0 (1,408:815693,12910355:13985098,10343064,0 (1,408:815693,12910355:13985098,10343064,0 (1,408:815693,12910355:13985098,10343064,0 (1,408:815693,12910355:13985244,10343089,0 (1,408:815693,12910355:13985244,10343089,0 (1,408:815693,12910355:0,10343089,0 (1,408:815693,12910355:0,20713361,0 (1,408:815693,12910355:28007242,20713361,0 ) k1,408:815693,12910355:-28007242 ) ) g1,408:14800937,12910355 ) ) ) ) ) ) ) g1,408:14982974,12910355 g1,408:15165157,12910355 r1,408:15165157,12910355:0,10343064,0 g1,408:14232818,12910355 g1,408:14232818,12910355 g1,408:14415001,12910355 (1,408:14415001,12910355:13985098,10343064,0 (1,408:14415001,12910355:13985098,10343064,0 (1,408:14415001,12910355:13985098,10343064,0 (1,408:14415001,12910355:13985098,10343064,0 (1,408:14415001,12910355:13985098,10343064,0 (1,408:14415001,12910355:13985244,10343089,0 (1,408:14415001,12910355:13985244,10343089,0 (1,408:14415001,12910355:0,10343089,0 (1,408:14415001,12910355:0,20713361,0 (1,408:14415001,12910355:28007242,20713361,0 ) k1,408:14415001,12910355:-28007242 ) ) g1,408:28400245,12910355 ) ) ) ) ) ) ) g1,408:28400099,12910355 k1,408:28655228,12910355:255129 ) (1,408:2621442,13991699:26033786,640339,164027 (1,408:2621442,13991699:0,291271,0 g1,408:2621442,13991699 g1,408:1638400,13991699 g1,408:1310720,13991699 (1,408:1310720,13991699:983042,291271,0 k1,408:2293762,13991699:983042 (1,408:2293762,13991699:0,291271,0 k1,408:2075309,13991699:-218453 x1,408:2293762,13991699 ) ) g1,408:2621442,13991699 ) $1,408:2621442,13991699 x1,408:3058350,13991699 (1,408:3058350,14090002:259164,284615,0 x1,408:3249454,14090002 ) g1,408:3499554,13991699 x1,408:4046772,13991699 g1,408:4228812,13991699 x1,408:4536175,13991699 x1,408:4974538,13991699 [1,408:4974538,14155726:819769,804366,0 (1,408:5028884,13653691:765423,302331,112564 x1,408:5754068,13653691 ) (1,408:4974538,14155726:259164,284615,0 x1,408:5165642,14155726 ) ] $1,408:5794307,13991699 g1,408:5976490,13991699 x1,408:7724322,13991699 g1,408:7906505,13991699 x1,408:8598561,13991699 g1,408:8780744,13991699 $1,408:8780744,13991699 x1,408:9199447,13991699 (1,408:9199447,14090002:259164,284615,0 x1,408:9390551,14090002 ) $1,408:9458611,13991699 g1,408:9640794,13991699 x1,408:11388626,13991699 g1,408:11570809,13991699 x1,408:12117368,13991699 g1,408:12299551,13991699 x1,408:12882159,13991699 x1,408:14084078,13991699 g1,408:14266261,13991699 x1,408:15941987,13991699 g1,408:16124170,13991699 x1,408:16488546,13991699 x1,408:16803122,13991699 x1,408:17369346,13991699 x1,408:18455921,13991699 g1,408:18638104,13991699 x1,408:20022206,13991699 k1,408:24338717,13991699:4316511 k1,408:28655228,13991699:4316511 ) (1,408:2621442,15151061:26033786,478735,212718 (1,408:2621442,15151061:0,291271,0 g1,408:2621442,15151061 g1,408:1638400,15151061 g1,408:1310720,15151061 (1,408:1310720,15151061:983042,291271,0 k1,408:2293762,15151061:983042 (1,408:2293762,15151061:0,291271,0 k1,408:2075309,15151061:-218453 x1,408:2293762,15151061 ) ) g1,408:2621442,15151061 ) x1,408:3896106,15151061 x1,408:4052080,15151061 g1,408:4234263,15151061 $1,408:4234263,15151061 x1,408:4536458,15151061 (1,408:4536458,15266932:400113,341315,96847 x1,408:4868511,15266932 ) $1,408:4936571,15151061 g1,408:5118754,15151061 x1,408:7595984,15151061 g1,408:7778167,15151061 x1,408:7960350,15151061 x1,408:8523301,15151061 g1,408:8705484,15151061 x1,408:9360836,15151061 g1,408:9543019,15151061 x1,408:10271771,15151061 x1,408:10731835,15151061 g1,408:10914018,15151061 x1,408:12553058,15151061 x1,408:13089129,15151061 g1,408:13271312,15151061 x1,408:13489544,15151061 $1,408:13489544,15151061 x1,408:14036762,15151061 $1,408:14036762,15151061 g1,408:14218945,15151061 x1,408:14619360,15151061 x1,408:15357935,15151061 g1,408:15540118,15151061 x1,408:16049982,15151061 g1,408:16232165,15151061 x1,408:18416461,15151061 k1,408:23535845,15151061:5119384 k1,408:28655228,15151061:5119383 ) ] ] (1,408:1310720,16782118:27344508,0,0 (1,408:1310720,16782118:27344508,0,0 [1,408:1310720,16782118:27344508,0,0 (1,408:1310720,16782118:27344508,0,0 (1,408:1310720,16782118:27344508,0,0 g1,408:0,16782118 (1,408:0,16782118:29834876,0,0 [1,408:0,16782118:29834876,0,0 (1,408:0,16782118:29834876,0,0 h1,408:0,16782118:0,0,0 $1,408:0,16782118 [1,408:0,16782118:20511477,-133806,-297646 (1,408:0,16411564:20511477,241500,72908 h1,408:0,16411564:0,0,0 k1,408:1305270,16411564:1305270 k1,408:1382640,16411564:77370 k1,408:1452107,16411564:69467 x1,408:2089439,16411564 x1,408:2453815,16411564 x1,408:2611103,16411564 k1,408:2680570,16411564:69467 x1,408:2789686,16411564 k1,408:2859153,16411564:69467 x1,408:3332321,16411564 x1,408:3842189,16411564 x1,408:4443149,16411564 k1,408:4512616,16411564:69467 x1,408:4785895,16411564 k1,408:4855362,16411564:69467 x1,408:5638181,16411564 k1,408:5707648,16411564:69467 x1,408:5980927,16411564 k1,408:6050394,16411564:69467 x1,408:6305326,16411564 k1,408:6374793,16411564:69467 x1,408:7321777,16411564 k1,408:7391244,16411564:69467 k1,408:27902721,16411564:20511477 k1,408:27972188,16411564:69467 x1,408:28154376,16411564 x1,408:29053841,16411564 k1,408:29053841,16411564:0 k1,408:29053841,16411564:0 ) ] $1,408:20511477,16782118 k1,408:29834876,16782118:9323399 g1,408:29834876,16782118 ) ] ) g1,408:28655228,16782118 ) g1,408:28655228,16782118 g1,408:28655228,16782118 ) h1,408:1310720,16782118:0,0,0 ] g1,408:28655228,16782118 ) ) ] ] ] !8914 }18 !10 {19 [1,408:4736286,16782118:23918942,12045832,0 (1,408:4736286,4736286:0,0,0 [1,408:0,4736286:0,0,0 (1,408:0,0:0,0,0 (1,408:0,4736286:0,0,0 k1,408:0,4736286:4736286 ) g1,408:0,0 ) ] ) [1,408:4736286,4736286:0,0,0 (1,408:4736286,-65781:0,0,0 k1,408:4736286,-65781:3491347 ) ] [1,408:4736286,16782118:23918942,12045832,0 [1,408:1310720,16782118:27344508,16782118,0 [1,408:1310720,0:27344508,0,0 (1,408:1310720,0:27344508,0,0 (1,408:1310720,0:27344508,0,0 [1,408:1310720,0:27344508,0,0 (1,408:1310720,0:-1310720,0,0 g1,408:0,0 (1,408:0,0:0,0,0 (1,408:0,16782118:29834876,16782118,0 (1,408:0,16782118:29834876,16782118,0 r1,408:29834876,16782118:29834876,16782118,0 ) ) ) (1,408:0,0:0,0,0 (1,408:0,0:0,0,0 (1,408:0,0:0,0,0 ) ) ) ) (1,408:1310720,0:-2490368,0,0 (1,408:1310720,0:0,0,0 (1,408:1310720,16782118:-1310720,16782118,0 (1,408:1310720,16782118:-1310720,16782118,0 g1,408:0,16782118 (1,408:0,16782118:0,0,0 [1,408:0,16782118:27344508,16782118,0 (1,408:0,16782118:27344508,16782118,0 h1,408:0,16782118:0,0,0 r1,408:0,16782118:0,16782118,0 k1,408:27344508,16782118:27344508 g1,408:27344508,16782118 ) ] ) [1,408:0,16782118:0,16782118,0 ] ) ) ) (1,408:1310720,0:0,0,0 (1,408:1310720,16782118:28524156,16782118,0 (1,408:1310720,16782118:28524156,16782118,0 g1,408:28655228,16782118 g1,408:29834876,16782118 (1,408:29834876,16782118:0,0,0 [1,408:29834876,16782118:27344508,16782118,0 (1,408:29834876,16782118:27344508,16782118,0 h1,408:29834876,16782118:0,0,0 r1,408:29834876,16782118:0,16782118,0 k1,408:57179384,16782118:27344508 g1,408:57179384,16782118 ) ] ) [1,408:29834876,16782118:0,16782118,0 (1,408:29834876,16192294:0,0,0 k1,408:29648397,16192294:-186479 g1,408:29834876,16192294 ) (1,408:29834876,16651046:0,0,0 k1,408:29648397,16651046:-186479 g1,408:29834876,16651046 ) ] ) ) ) (1,408:1310720,0:-2490368,0,0 g1,408:0,0 (1,408:0,0:0,0,0 [1,408:0,0:0,0,0 ] ) g1,408:-1179648,0 ) g1,408:-1179648,0 ) (1,408:1310720,0:27344508,0,0 h1,408:1310720,0:0,0,0 k1,408:28655228,0:27344508 g1,408:28655228,0 ) ] ) ) ] [1,408:1310720,16519974:27344508,16519974,0 [1,408:1310720,16519974:27344508,16519974,0 v1,408:1310720,0:0,0,0 v1,408:1310720,0:0,0,0 (1,408:1310720,1532292:27344508,655359,357028 h1,408:1310720,1532292:0,0,0 g1,408:2056580,1532292 $1,408:2056580,1532292 [1,408:2056580,1532292:16082345,579600,357028 (1,408:2056580,1532292:16082345,579600,168288 x1,408:5464944,1532292 g1,408:5683564,1532292 x1,408:6120815,1532292 g1,408:6339435,1532292 x1,408:6601314,1532292 g1,408:6819934,1532292 x1,408:8655443,1532292 g1,408:8874063,1532292 x1,408:10010444,1532292 g1,408:10229064,1532292 x1,408:11322186,1532292 g1,408:11540806,1532292 x1,408:17745709,1532292 x1,408:18138925,1532292 ) ] $1,408:18138925,1532292 x1,408:18778984,1532292 k1,408:23717106,1532292:4938122 k1,408:28655228,1532292:4938122 ) [1,408:1310720,2053160:0,0,0 [1,408:1310720,2053160:0,0,0 ] ] v1,408:1310720,2053160:0,0,0 (1,408:1310720,12910355:27344508,10343064,0 k1,408:1656941,12910355:346221 (1,408:1656941,12910355:0,0,0 g1,408:1656941,12910355 g1,408:1656941,12910355 g1,408:1656941,12910355 g1,408:1329261,12910355 (1,408:1329261,12910355:0,0,0 ) g1,408:1656941,12910355 g1,408:1656941,12910355 g1,408:1656941,12910355 g1,408:1656941,12910355 g1,408:1329261,12910355 (1,408:1329261,12910355:0,0,0 ) g1,408:1656941,12910355 ) r1,408:1656941,12910355:0,10343064,0 g1,408:724602,12910355 g1,408:724602,12910355 g1,408:906785,12910355 (1,408:906785,12910355:13985098,10343064,0 (1,408:906785,12910355:13985098,10343064,0 (1,408:906785,12910355:13985098,10343064,0 (1,408:906785,12910355:13985098,10343064,0 (1,408:906785,12910355:13985098,10343064,0 (1,408:906785,12910355:13985244,10343089,0 (1,408:906785,12910355:13985244,10343089,0 (1,408:906785,12910355:0,10343089,0 (1,408:906785,12910355:0,20713361,0 (1,408:906785,12910355:28007242,20713361,0 ) k1,408:906785,12910355:-28007242 ) ) g1,408:14892029,12910355 ) ) ) ) ) ) ) g1,408:15074066,12910355 r1,408:15074066,12910355:0,10343064,0 g1,408:14141727,12910355 g1,408:14141727,12910355 g1,408:14323910,12910355 (1,408:14323910,12910355:13985098,10343064,0 (1,408:14323910,12910355:13985098,10343064,0 (1,408:14323910,12910355:13985098,10343064,0 (1,408:14323910,12910355:13985098,10343064,0 (1,408:14323910,12910355:13985098,10343064,0 (1,408:14323910,12910355:13985244,10343089,0 (1,408:14323910,12910355:13985244,10343089,0 (1,408:14323910,12910355:0,10343089,0 (1,408:14323910,12910355:0,20713361,0 (1,408:14323910,12910355:28007242,20713361,0 ) k1,408:14323910,12910355:-28007242 ) ) g1,408:28309154,12910355 ) ) ) ) ) ) ) g1,408:28309008,12910355 k1,408:28655228,12910355:346220 ) (1,408:2621442,13991699:26033786,640339,164027 (1,408:2621442,13991699:0,291271,0 g1,408:2621442,13991699 g1,408:1638400,13991699 g1,408:1310720,13991699 (1,408:1310720,13991699:983042,291271,0 k1,408:2293762,13991699:983042 (1,408:2293762,13991699:0,291271,0 k1,408:2075309,13991699:-218453 x1,408:2293762,13991699 ) ) g1,408:2621442,13991699 ) $1,408:2621442,13991699 x1,408:3058350,13991699 (1,408:3058350,14090002:259164,284615,0 x1,408:3249454,14090002 ) g1,408:3499554,13991699 x1,408:4046772,13991699 g1,408:4228812,13991699 x1,408:4536175,13991699 x1,408:4974538,13991699 [1,408:4974538,14155726:819769,804366,0 (1,408:5028884,13653691:765423,302331,112564 x1,408:5754068,13653691 ) (1,408:4974538,14155726:259164,284615,0 x1,408:5165642,14155726 ) ] $1,408:5794307,13991699 g1,408:5976490,13991699 x1,408:7724322,13991699 g1,408:7906505,13991699 x1,408:8598561,13991699 g1,408:8780744,13991699 $1,408:8780744,13991699 x1,408:9199447,13991699 (1,408:9199447,14090002:259164,284615,0 x1,408:9390551,14090002 ) $1,408:9458611,13991699 g1,408:9640794,13991699 x1,408:11388626,13991699 g1,408:11570809,13991699 x1,408:12117368,13991699 g1,408:12299551,13991699 x1,408:12882159,13991699 x1,408:14084078,13991699 g1,408:14266261,13991699 x1,408:15941987,13991699 g1,408:16124170,13991699 x1,408:16488546,13991699 x1,408:16803122,13991699 x1,408:17369346,13991699 x1,408:18455921,13991699 g1,408:18638104,13991699 x1,408:20022206,13991699 k1,408:24338717,13991699:4316511 k1,408:28655228,13991699:4316511 ) (1,408:2621442,15151061:26033786,478735,212718 (1,408:2621442,15151061:0,291271,0 g1,408:2621442,15151061 g1,408:1638400,15151061 g1,408:1310720,15151061 (1,408:1310720,15151061:983042,291271,0 k1,408:2293762,15151061:983042 (1,408:2293762,15151061:0,291271,0 k1,408:2075309,15151061:-218453 x1,408:2293762,15151061 ) ) g1,408:2621442,15151061 ) x1,408:3896106,15151061 x1,408:4052080,15151061 g1,408:4234263,15151061 $1,408:4234263,15151061 x1,408:4536458,15151061 (1,408:4536458,15266932:400113,341315,96847 x1,408:4868511,15266932 ) $1,408:4936571,15151061 g1,408:5118754,15151061 x1,408:7595984,15151061 g1,408:7778167,15151061 x1,408:7960350,15151061 x1,408:8523301,15151061 g1,408:8705484,15151061 x1,408:9360836,15151061 g1,408:9543019,15151061 x1,408:10271771,15151061 x1,408:10731835,15151061 g1,408:10914018,15151061 x1,408:12553058,15151061 x1,408:13089129,15151061 g1,408:13271312,15151061 x1,408:13489544,15151061 $1,408:13489544,15151061 x1,408:14036762,15151061 $1,408:14036762,15151061 g1,408:14218945,15151061 x1,408:14619360,15151061 x1,408:15357935,15151061 g1,408:15540118,15151061 x1,408:16049982,15151061 g1,408:16232165,15151061 x1,408:18416461,15151061 k1,408:23535845,15151061:5119384 k1,408:28655228,15151061:5119383 ) ] ] (1,408:1310720,16782118:27344508,0,0 (1,408:1310720,16782118:27344508,0,0 [1,408:1310720,16782118:27344508,0,0 (1,408:1310720,16782118:27344508,0,0 (1,408:1310720,16782118:27344508,0,0 g1,408:0,16782118 (1,408:0,16782118:29834876,0,0 [1,408:0,16782118:29834876,0,0 (1,408:0,16782118:29834876,0,0 h1,408:0,16782118:0,0,0 $1,408:0,16782118 [1,408:0,16782118:20511477,-133806,-297646 (1,408:0,16411564:20511477,241500,72908 h1,408:0,16411564:0,0,0 k1,408:1305270,16411564:1305270 k1,408:1382640,16411564:77370 k1,408:1452107,16411564:69467 x1,408:2089439,16411564 x1,408:2453815,16411564 x1,408:2611103,16411564 k1,408:2680570,16411564:69467 x1,408:2789686,16411564 k1,408:2859153,16411564:69467 x1,408:3332321,16411564 x1,408:3842189,16411564 x1,408:4443149,16411564 k1,408:4512616,16411564:69467 x1,408:4785895,16411564 k1,408:4855362,16411564:69467 x1,408:5638181,16411564 k1,408:5707648,16411564:69467 x1,408:5980927,16411564 k1,408:6050394,16411564:69467 x1,408:6305326,16411564 k1,408:6374793,16411564:69467 x1,408:7321777,16411564 k1,408:7391244,16411564:69467 k1,408:27902721,16411564:20511477 k1,408:27972188,16411564:69467 x1,408:28154376,16411564 x1,408:29053841,16411564 k1,408:29053841,16411564:0 k1,408:29053841,16411564:0 ) ] $1,408:20511477,16782118 k1,408:29834876,16782118:9323399 g1,408:29834876,16782118 ) ] ) g1,408:28655228,16782118 ) g1,408:28655228,16782118 g1,408:28655228,16782118 ) h1,408:1310720,16782118:0,0,0 ] g1,408:28655228,16782118 ) ) ] ] ] !8858 }19 Input:186:C:\Users\Simon\AppData\Local\Programs\MiKTeX\tex\latex\psnfss\ts1phv.fd !92 {20 [1,445:4736286,16782118:23918942,12045832,0 (1,445:4736286,4736286:0,0,0 [1,445:0,4736286:0,0,0 (1,445:0,0:0,0,0 (1,445:0,4736286:0,0,0 k1,445:0,4736286:4736286 ) g1,445:0,0 ) ] ) [1,445:4736286,4736286:0,0,0 (1,445:4736286,-65781:0,0,0 k1,445:4736286,-65781:3491347 ) ] [1,445:4736286,16782118:23918942,12045832,0 [1,445:1310720,16782118:27344508,16782118,0 [1,445:1310720,0:27344508,0,0 (1,445:1310720,0:27344508,0,0 (1,445:1310720,0:27344508,0,0 [1,445:1310720,0:27344508,0,0 (1,445:1310720,0:-1310720,0,0 g1,445:0,0 (1,445:0,0:0,0,0 (1,445:0,16782118:29834876,16782118,0 (1,445:0,16782118:29834876,16782118,0 r1,445:29834876,16782118:29834876,16782118,0 ) ) ) (1,445:0,0:0,0,0 (1,445:0,0:0,0,0 (1,445:0,0:0,0,0 ) ) ) ) (1,445:1310720,0:-2490368,0,0 (1,445:1310720,0:0,0,0 (1,445:1310720,16782118:-1310720,16782118,0 (1,445:1310720,16782118:-1310720,16782118,0 g1,445:0,16782118 (1,445:0,16782118:0,0,0 [1,445:0,16782118:27344508,16782118,0 (1,445:0,16782118:27344508,16782118,0 h1,445:0,16782118:0,0,0 r1,445:0,16782118:0,16782118,0 k1,445:27344508,16782118:27344508 g1,445:27344508,16782118 ) ] ) [1,445:0,16782118:0,16782118,0 ] ) ) ) (1,445:1310720,0:0,0,0 (1,445:1310720,16782118:28524156,16782118,0 (1,445:1310720,16782118:28524156,16782118,0 g1,445:28655228,16782118 g1,445:29834876,16782118 (1,445:29834876,16782118:0,0,0 [1,445:29834876,16782118:27344508,16782118,0 (1,445:29834876,16782118:27344508,16782118,0 h1,445:29834876,16782118:0,0,0 r1,445:29834876,16782118:0,16782118,0 k1,445:57179384,16782118:27344508 g1,445:57179384,16782118 ) ] ) [1,445:29834876,16782118:0,16782118,0 (1,445:29834876,16192294:0,0,0 k1,445:29648397,16192294:-186479 g1,445:29834876,16192294 ) (1,445:29834876,16651046:0,0,0 k1,445:29648397,16651046:-186479 g1,445:29834876,16651046 ) ] ) ) ) (1,445:1310720,0:-2490368,0,0 g1,445:0,0 (1,445:0,0:0,0,0 [1,445:0,0:0,0,0 ] ) g1,445:-1179648,0 ) g1,445:-1179648,0 ) (1,445:1310720,0:27344508,0,0 h1,445:1310720,0:0,0,0 k1,445:28655228,0:27344508 g1,445:28655228,0 ) ] ) ) ] [1,445:1310720,16519974:27344508,16519974,0 [1,445:1310720,16519974:27344508,16519974,0 v1,445:1310720,0:0,0,0 v1,445:1310720,0:0,0,0 (1,445:1310720,1532292:27344508,655359,357028 h1,445:1310720,1532292:0,0,0 g1,445:2056580,1532292 $1,445:2056580,1532292 [1,445:2056580,1532292:16483409,579600,357028 (1,445:2056580,1532292:16483409,579600,168288 x1,445:5464944,1532292 g1,445:5683564,1532292 x1,445:6120815,1532292 g1,445:6339435,1532292 x1,445:6601314,1532292 g1,445:6819934,1532292 x1,445:7824986,1532292 x1,445:8380998,1532292 g1,445:8599618,1532292 x1,445:10434350,1532292 g1,445:10652970,1532292 x1,445:11789351,1532292 g1,445:12007971,1532292 x1,445:13537568,1532292 x1,445:14311395,1532292 x1,445:15197685,1532292 g1,445:15416305,1532292 x1,445:15853556,1532292 x1,445:16290807,1532292 x1,445:18146773,1532292 x1,445:18539989,1532292 ) ] $1,445:18539989,1532292 x1,445:19180048,1532292 k1,445:23917638,1532292:4737590 k1,445:28655228,1532292:4737590 ) [1,445:1310720,2053160:0,0,0 [1,445:1310720,2053160:0,0,0 ] [1,445:1310720,2053160:0,0,0 ] ] v1,445:1310720,2053160:0,0,0 (1,445:1310720,3146986:27344508,457113,126216 h1,445:1310720,3146986:0,0,0 g1,445:2056580,3146986 g1,445:2220545,3146986 x1,445:2712448,3146986 g1,445:2915336,3146986 x1,445:3210248,3146986 x1,445:4672999,3146986 g1,445:4836964,3146986 x1,445:5328867,3146986 g1,445:5492832,3146986 x1,445:5951709,3146986 g1,445:6115674,3146986 x1,445:7557776,3146986 g1,445:7721741,3146986 x1,445:8115149,3146986 x1,445:9265297,3146986 x1,445:9370279,3146986 g1,445:9534244,3146986 x1,445:11042405,3146986 g1,445:11206370,3146986 x1,445:11402779,3146986 g1,445:11566744,3146986 x1,445:13402835,3146986 g1,445:13566800,3146986 x1,445:13763209,3146986 g1,445:13927174,3146986 x1,445:15172874,3146986 g1,445:15336839,3146986 x1,445:16320645,3146986 g1,445:16484610,3146986 x1,445:17140486,3146986 x1,445:17417707,3146986 g1,445:17581672,3146986 x1,445:18630373,3146986 g1,445:18794338,3146986 x1,445:19942117,3146986 g1,445:20106082,3146986 x1,445:21778208,3146986 g1,445:21942173,3146986 x1,445:23318805,3146986 g1,445:23482770,3146986 x1,445:23974673,3146986 g1,445:24138638,3146986 x1,445:24564489,3146986 x1,445:25082938,3146986 x1,445:25386691,3146986 x1,445:26390565,3146986 g1,445:26554530,3146986 g1,445:26554530,3146986 k1,445:27604879,3146986:1050349 k1,445:28655228,3146986:1050349 ) (1,445:2621442,4185433:26033786,457113,67824 (1,445:2621442,4185433:0,0,0 g1,445:2621442,4185433 g1,445:1638400,4185433 g1,445:1310720,4185433 (1,445:1310720,4185433:983042,0,0 k1,445:2293762,4185433:983042 (1,445:2293762,4185433:0,0,0 g1,445:2293762,4185433 ) ) g1,445:2621442,4185433 ) x1,445:4621506,4185433 x1,445:6425161,4185433 g1,445:6589126,4185433 x1,445:6785535,4185433 g1,445:6949500,4185433 x1,445:7933314,4185433 g1,445:8097279,4185433 x1,445:8589182,4185433 g1,445:8753147,4185433 x1,445:9736961,4185433 k1,445:19196095,4185433:9459134 k1,445:28655229,4185433:9459134 ) (1,445:2621442,5223880:26033786,426142,9727 (1,445:2621442,5223880:0,262144,0 g1,445:2621442,5223880 g1,445:1638400,5223880 g1,445:1310720,5223880 (1,445:1310720,5223880:983042,262144,0 k1,445:2293762,5223880:983042 (1,445:2293762,5223880:0,262144,0 k1,445:2091694,5223880:-202068 x1,445:2293762,5223880 ) ) g1,445:2621442,5223880 ) x1,445:4358455,5223880 g1,445:4561343,5223880 x1,445:5872505,5223880 x1,445:6850421,5223880 g1,445:7014386,5223880 x1,445:7210795,5223880 x1,445:8063080,5223880 g1,445:8227045,5223880 x1,445:9210859,5223880 g1,445:9374824,5223880 x1,445:9571233,5223880 x1,445:10751456,5223880 g1,445:10915421,5223880 k1,445:19785325,5223880:8869904 k1,445:28655229,5223880:8869904 ) (1,445:2621442,6262327:26033786,434700,126216 (1,445:2621442,6262327:0,262144,0 g1,445:2621442,6262327 g1,445:1638400,6262327 g1,445:1310720,6262327 (1,445:1310720,6262327:983042,262144,0 k1,445:2293762,6262327:983042 (1,445:2293762,6262327:0,262144,0 k1,445:2091694,6262327:-202068 x1,445:2293762,6262327 ) ) g1,445:2621442,6262327 ) x1,445:3243702,6262327 x1,445:4891060,6262327 g1,445:5055025,6262327 x1,445:6497716,6262327 g1,445:6661681,6262327 x1,445:8398694,6262327 g1,445:8562659,6262327 x1,445:9414944,6262327 g1,445:9578909,6262327 x1,445:9742874,6262327 x1,445:10249530,6262327 g1,445:10413495,6262327 x1,445:11888630,6262327 g1,445:12052595,6262327 g1,445:12052595,6262327 k1,445:20353912,6262327:8301317 k1,445:28655228,6262327:8301316 ) (1,445:3932164,7235238:24723064,434700,131234 (1,445:3932164,7235238:0,0,0 g1,445:3932164,7235238 g1,445:2949122,7235238 g1,445:2621442,7235238 (1,445:2621442,7235238:983042,0,0 k1,445:3604484,7235238:983042 (1,445:3604484,7235238:0,0,0 g1,445:3604484,7235238 ) ) g1,445:3932164,7235238 ) x1,445:4915388,7235238 g1,445:5079353,7235238 x1,445:7373747,7235238 g1,445:7537712,7235238 x1,445:8029615,7235238 g1,445:8193580,7235238 x1,445:9668715,7235238 g1,445:9832680,7235238 x1,445:10258531,7235238 x1,445:10776980,7235238 x1,445:11080733,7235238 x1,445:11724815,7235238 g1,445:11888780,7235238 x1,445:12806534,7235238 x1,445:13518455,7235238 g1,445:13682420,7235238 x1,445:14305270,7235238 g1,445:14469235,7235238 x1,445:14961138,7235238 g1,445:15125103,7235238 x1,445:16829680,7235238 x1,445:17148776,7235238 g1,445:17312741,7235238 x1,445:18460520,7235238 g1,445:18624485,7235238 x1,445:19444326,7235238 g1,445:19608291,7235238 x1,445:21607772,7235238 x1,445:21920964,7235238 g1,445:22084929,7235238 x1,445:23068743,7235238 g1,445:23232708,7235238 x1,445:23560646,7235238 x1,445:25378471,7235238 x1,445:25691663,7235238 g1,445:25855628,7235238 x1,445:27953023,7235238 g1,445:28116988,7235238 x1,445:28608891,7235238 k1,445:28655228,7235238:46337 ) (1,445:3932164,7956134:24723064,426142,126216 x1,445:5538238,7956134 x1,445:7290586,7956134 g1,445:7493474,7956134 x1,445:8509731,7956134 g1,445:8673696,7956134 x1,445:10804124,7956134 g1,445:10968089,7956134 x1,445:11918877,7956134 x1,445:12630798,7956134 g1,445:12794763,7956134 x1,445:13450639,7956134 g1,445:13614604,7956134 x1,445:15089149,7956134 x1,445:16096542,7956134 g1,445:16260507,7956134 x1,445:18882830,7956134 g1,445:19046795,7956134 x1,445:20292487,7956134 x1,445:21270403,7956134 g1,445:21434368,7956134 x1,445:22877059,7956134 g1,445:23041024,7956134 x1,445:23630840,7956134 x1,445:23952880,7956134 x1,445:24093257,7956134 g1,445:24257222,7956134 x1,445:25109507,7956134 x1,445:26706134,7956134 x1,445:27140826,7956134 g1,445:27304791,7956134 x1,445:27763668,7956134 k1,445:28655228,7956134:891560 ) (1,445:3932164,8677030:24723064,426142,9727 x1,445:4752005,8677030 g1,445:4915970,8677030 x1,445:6063167,8677030 x1,445:6250719,8677030 g1,445:6414684,8677030 x1,445:6611093,8677030 x1,445:7439775,8677030 k1,445:18047501,8677030:10607726 k1,445:28655227,8677030:10607726 ) (1,445:1310720,10022871:27344508,430862,122976 h1,445:1310720,10022871:0,0,0 g1,445:2056580,10022871 g1,445:2220545,10022871 x1,445:2712448,10022871 g1,445:2915336,10022871 x1,445:3833091,10022871 x1,445:6745019,10022871 x1,445:6900142,10022871 g1,445:7064107,10022871 x1,445:8145834,10022871 x1,445:8300957,10022871 g1,445:8464922,10022871 x1,445:9710614,10022871 g1,445:9874579,10022871 x1,445:11579149,10022871 g1,445:11743114,10022871 x1,445:11939523,10022871 g1,445:12103488,10022871 x1,445:15250166,10022871 g1,445:15414131,10022871 g1,445:15414131,10022871 k1,445:22034680,10022871:6620549 k1,445:28655228,10022871:6620548 ) (1,445:2621442,11061318:26033786,457113,122976 (1,445:2621442,11061318:0,0,0 g1,445:2621442,11061318 g1,445:1638400,11061318 g1,445:1310720,11061318 (1,445:1310720,11061318:983042,0,0 k1,445:2293762,11061318:983042 (1,445:2293762,11061318:0,0,0 g1,445:2293762,11061318 ) ) g1,445:2621442,11061318 ) x1,445:4621506,11061318 x1,445:6425161,11061318 g1,445:6589126,11061318 x1,445:7834229,11061318 x1,445:8588018,11061318 x1,445:9529374,11061318 g1,445:9693339,11061318 x1,445:9889748,11061318 g1,445:10053713,11061318 x1,445:11529430,11061318 g1,445:11693395,11061318 x1,445:12185298,11061318 g1,445:12349263,11061318 x1,445:14152918,11061318 g1,445:14316883,11061318 k1,445:21486056,11061318:7169173 k1,445:28655228,11061318:7169172 ) (1,445:2621442,12099765:26033786,430862,131234 (1,445:2621442,12099765:0,262144,0 g1,445:2621442,12099765 g1,445:1638400,12099765 g1,445:1310720,12099765 (1,445:1310720,12099765:983042,262144,0 k1,445:2293762,12099765:983042 (1,445:2293762,12099765:0,262144,0 k1,445:2091694,12099765:-202068 x1,445:2293762,12099765 ) ) g1,445:2621442,12099765 ) x1,445:4194490,12099765 g1,445:4358455,12099765 x1,445:4751863,12099765 g1,445:4915828,12099765 x1,445:6161520,12099765 g1,445:6364408,12099765 x1,445:6560817,12099765 x1,445:7741040,12099765 g1,445:7905005,12099765 x1,445:9314670,12099765 g1,445:9478635,12099765 x1,445:11182614,12099765 x1,445:11936403,12099765 x1,445:12079725,12099765 g1,445:12243690,12099765 x1,445:14177120,12099765 x1,445:15619811,12099765 x1,445:17803907,12099765 g1,445:17967872,12099765 x1,445:18295810,12099765 x1,445:20376104,12099765 g1,445:20540069,12099765 x1,445:22211613,12099765 g1,445:22375578,12099765 x1,445:22866899,12099765 x1,445:24088406,12099765 g1,445:24252371,12099765 x1,445:24711248,12099765 g1,445:24875213,12099765 x1,445:27824893,12099765 k1,445:28240061,12099765:415168 k1,445:28655228,12099765:415167 ) (1,445:2621442,13138212:26033786,426142,131234 (1,445:2621442,13138212:0,262144,0 g1,445:2621442,13138212 g1,445:1638400,13138212 g1,445:1310720,13138212 (1,445:1310720,13138212:983042,262144,0 k1,445:2293762,13138212:983042 (1,445:2293762,13138212:0,262144,0 k1,445:2091694,13138212:-202068 x1,445:2293762,13138212 ) ) g1,445:2621442,13138212 ) x1,445:4194490,13138212 g1,445:4358455,13138212 x1,445:4751863,13138212 g1,445:4915828,13138212 x1,445:6161520,13138212 g1,445:6364408,13138212 x1,445:6560817,13138212 x1,445:7741040,13138212 g1,445:7905005,13138212 x1,445:9314670,13138212 g1,445:9478635,13138212 x1,445:11182614,13138212 x1,445:12952653,13138212 x1,445:13265255,13138212 x1,445:13370237,13138212 g1,445:13534202,13138212 x1,445:15467632,13138212 x1,445:16778204,13138212 x1,445:18417304,13138212 g1,445:18581269,13138212 x1,445:19990934,13138212 g1,445:20154899,13138212 x1,445:22482318,13138212 g1,445:22646283,13138212 x1,445:24711234,13138212 g1,445:24875199,13138212 x1,445:26218804,13138212 g1,445:26382769,13138212 k1,445:27518999,13138212:1136230 k1,445:28655228,13138212:1136229 ) (1,445:1310720,14549589:27344508,430862,249194 h1,445:1310720,14549589:0,0,0 g1,445:2056580,14549589 $1,445:2056580,14549589 [1,445:2056580,14549589:21836675,430862,249194 (1,445:2056580,14549589:21836675,430862,131234 x1,445:2810369,14549589 x1,445:3227378,14549589 g1,445:3391343,14549589 x1,445:3883246,14549589 g1,445:4047211,14549589 x1,445:6407074,14549589 g1,445:6571039,14549589 x1,445:7619732,14549589 g1,445:7783697,14549589 x1,445:9422797,14549589 g1,445:9586762,14549589 x1,445:10734541,14549589 g1,445:10898506,14549589 x1,445:11554382,14549589 g1,445:11718347,14549589 x1,445:12242104,14549589 g1,445:12406069,14549589 x1,445:13717231,14549589 x1,445:13872354,14549589 g1,445:14036319,14549589 x1,445:15020133,14549589 x1,445:17067983,14549589 g1,445:17231948,14549589 $1,445:17231948,14549589 x1,445:17645408,14549589 (1,445:17645408,14549589:478287,262144,117960 [1,445:17645408,14549589:478287,262144,117960 (1,445:17645408,14549589:478287,262144,0 x1,445:18059555,14549589 ) ] ) $1,445:18123695,14549589 x1,445:18287660,14549589 g1,445:18451625,14549589 x1,445:19106321,14549589 g1,445:19270286,14549589 x1,445:20385039,14549589 g1,445:20549004,14549589 x1,445:22253573,14549589 g1,445:22417538,14549589 x1,445:23893255,14549589 ) ] $1,445:23893255,14549589 g1,445:24057220,14549589 k1,445:26356224,14549589:2299004 k1,445:28655228,14549589:2299004 ) (1,445:2621442,15401557:26033786,406323,116653 (1,445:2621442,15401557:0,262144,0 g1,445:2621442,15401557 g1,445:1638400,15401557 g1,445:1310720,15401557 (1,445:1310720,15401557:983042,262144,0 k1,445:2293762,15401557:983042 (1,445:2293762,15401557:0,262144,0 k1,445:2091694,15401557:-202068 x1,445:2293762,15401557 ) ) g1,445:2621442,15401557 ) x1,445:3903311,15401557 x1,445:4335317,15401557 g1,445:4481064,15401557 x1,445:4772565,15401557 x1,445:5347701,15401557 g1,445:5528046,15401557 x1,445:6605973,15401557 x1,445:6725505,15401557 g1,445:6871252,15401557 x1,445:7774069,15401557 x1,445:9442856,15401557 g1,445:9588603,15401557 x1,445:9938299,15401557 x1,445:10479361,15401557 g1,445:10625108,15401557 x1,445:11120033,15401557 x1,445:12556046,15401557 x1,445:12675578,15401557 g1,445:12821325,15401557 x1,445:13141664,15401557 x1,445:14186042,15401557 g1,445:14331789,15401557 x1,445:15846965,15401557 g1,445:15992712,15401557 x1,445:16167298,15401557 g1,445:16313045,15401557 x1,445:16662741,15401557 x1,445:16903918,15401557 x1,445:17356898,15401557 x1,445:18226159,15401557 g1,445:18371906,15401557 $1,445:18371906,15401557 (1,445:18371906,15401557:437744,233017,104855 [1,445:18371906,15401557:437744,233017,104855 (1,445:18371906,15401557:437744,233017,0 x1,445:18752384,15401557 ) ] ) $1,445:18809650,15401557 g1,445:18955397,15401557 x1,445:19712985,15401557 g1,445:19858732,15401557 x1,445:21170483,15401557 x1,445:21316230,15401557 g1,445:21461977,15401557 x1,445:22452870,15401557 g1,445:22598617,15401557 x1,445:23910368,15401557 k1,445:26282798,15401557:2372430 k1,445:28655228,15401557:2372430 ) ] ] (1,445:1310720,16782118:27344508,0,0 (1,445:1310720,16782118:27344508,0,0 [1,445:1310720,16782118:27344508,0,0 (1,445:1310720,16782118:27344508,0,0 (1,445:1310720,16782118:27344508,0,0 g1,445:0,16782118 (1,445:0,16782118:29834876,0,0 [1,445:0,16782118:29834876,0,0 (1,445:0,16782118:29834876,0,0 h1,445:0,16782118:0,0,0 $1,445:0,16782118 [1,445:0,16782118:20511477,-133806,-297646 (1,445:0,16411564:20511477,241500,72908 h1,445:0,16411564:0,0,0 k1,445:1305270,16411564:1305270 k1,445:1382640,16411564:77370 k1,445:1452107,16411564:69467 x1,445:2089439,16411564 x1,445:2453815,16411564 x1,445:2611103,16411564 k1,445:2680570,16411564:69467 x1,445:2789686,16411564 k1,445:2859153,16411564:69467 x1,445:3332321,16411564 x1,445:3842189,16411564 x1,445:4443149,16411564 k1,445:4512616,16411564:69467 x1,445:4785895,16411564 k1,445:4855362,16411564:69467 x1,445:5638181,16411564 k1,445:5707648,16411564:69467 x1,445:5980927,16411564 k1,445:6050394,16411564:69467 x1,445:6305326,16411564 k1,445:6374793,16411564:69467 x1,445:7321777,16411564 k1,445:7391244,16411564:69467 k1,445:27902721,16411564:20511477 k1,445:27972188,16411564:69467 x1,445:28154376,16411564 x1,445:29053841,16411564 k1,445:29053841,16411564:0 k1,445:29053841,16411564:0 ) ] $1,445:20511477,16782118 k1,445:29834876,16782118:9323399 g1,445:29834876,16782118 ) ] ) g1,445:28655228,16782118 ) g1,445:28655228,16782118 g1,445:28655228,16782118 ) h1,445:1310720,16782118:0,0,0 ] g1,445:28655228,16782118 ) ) ] ] ] !16834 }20 !11 {21 [1,445:4736286,16782118:23918942,12045832,0 (1,445:4736286,4736286:0,0,0 [1,445:0,4736286:0,0,0 (1,445:0,0:0,0,0 (1,445:0,4736286:0,0,0 k1,445:0,4736286:4736286 ) g1,445:0,0 ) ] ) [1,445:4736286,4736286:0,0,0 (1,445:4736286,-65781:0,0,0 k1,445:4736286,-65781:3491347 ) ] [1,445:4736286,16782118:23918942,12045832,0 [1,445:1310720,16782118:27344508,16782118,0 [1,445:1310720,0:27344508,0,0 (1,445:1310720,0:27344508,0,0 (1,445:1310720,0:27344508,0,0 [1,445:1310720,0:27344508,0,0 (1,445:1310720,0:-1310720,0,0 g1,445:0,0 (1,445:0,0:0,0,0 (1,445:0,16782118:29834876,16782118,0 (1,445:0,16782118:29834876,16782118,0 r1,445:29834876,16782118:29834876,16782118,0 ) ) ) (1,445:0,0:0,0,0 (1,445:0,0:0,0,0 (1,445:0,0:0,0,0 ) ) ) ) (1,445:1310720,0:-2490368,0,0 (1,445:1310720,0:0,0,0 (1,445:1310720,16782118:-1310720,16782118,0 (1,445:1310720,16782118:-1310720,16782118,0 g1,445:0,16782118 (1,445:0,16782118:0,0,0 [1,445:0,16782118:27344508,16782118,0 (1,445:0,16782118:27344508,16782118,0 h1,445:0,16782118:0,0,0 r1,445:0,16782118:0,16782118,0 k1,445:27344508,16782118:27344508 g1,445:27344508,16782118 ) ] ) [1,445:0,16782118:0,16782118,0 ] ) ) ) (1,445:1310720,0:0,0,0 (1,445:1310720,16782118:28524156,16782118,0 (1,445:1310720,16782118:28524156,16782118,0 g1,445:28655228,16782118 g1,445:29834876,16782118 (1,445:29834876,16782118:0,0,0 [1,445:29834876,16782118:27344508,16782118,0 (1,445:29834876,16782118:27344508,16782118,0 h1,445:29834876,16782118:0,0,0 r1,445:29834876,16782118:0,16782118,0 k1,445:57179384,16782118:27344508 g1,445:57179384,16782118 ) ] ) [1,445:29834876,16782118:0,16782118,0 (1,445:29834876,16192294:0,0,0 k1,445:29648397,16192294:-186479 g1,445:29834876,16192294 ) (1,445:29834876,16651046:0,0,0 k1,445:29648397,16651046:-186479 g1,445:29834876,16651046 ) ] ) ) ) (1,445:1310720,0:-2490368,0,0 g1,445:0,0 (1,445:0,0:0,0,0 [1,445:0,0:0,0,0 ] ) g1,445:-1179648,0 ) g1,445:-1179648,0 ) (1,445:1310720,0:27344508,0,0 h1,445:1310720,0:0,0,0 k1,445:28655228,0:27344508 g1,445:28655228,0 ) ] ) ) ] [1,445:1310720,16519974:27344508,16519974,0 [1,445:1310720,16519974:27344508,16519974,0 v1,445:1310720,0:0,0,0 v1,445:1310720,0:0,0,0 (1,445:1310720,1532292:27344508,655359,357028 h1,445:1310720,1532292:0,0,0 g1,445:2056580,1532292 $1,445:2056580,1532292 [1,445:2056580,1532292:16483409,579600,357028 (1,445:2056580,1532292:16483409,579600,168288 x1,445:5464944,1532292 g1,445:5683564,1532292 x1,445:6120815,1532292 g1,445:6339435,1532292 x1,445:6601314,1532292 g1,445:6819934,1532292 x1,445:7824986,1532292 x1,445:8380998,1532292 g1,445:8599618,1532292 x1,445:10434350,1532292 g1,445:10652970,1532292 x1,445:11789351,1532292 g1,445:12007971,1532292 x1,445:13537568,1532292 x1,445:14311395,1532292 x1,445:15197685,1532292 g1,445:15416305,1532292 x1,445:15853556,1532292 x1,445:16290807,1532292 x1,445:18146773,1532292 x1,445:18539989,1532292 ) ] $1,445:18539989,1532292 x1,445:19180048,1532292 k1,445:23917638,1532292:4737590 k1,445:28655228,1532292:4737590 ) [1,445:1310720,2053160:0,0,0 [1,445:1310720,2053160:0,0,0 ] ] v1,445:1310720,2053160:0,0,0 (1,445:1310720,3146986:27344508,457113,126216 h1,445:1310720,3146986:0,0,0 g1,445:2056580,3146986 g1,445:2220545,3146986 x1,445:2712448,3146986 g1,445:2915336,3146986 x1,445:3210248,3146986 x1,445:4672999,3146986 g1,445:4836964,3146986 x1,445:5328867,3146986 g1,445:5492832,3146986 x1,445:5951709,3146986 g1,445:6115674,3146986 x1,445:7557776,3146986 g1,445:7721741,3146986 x1,445:8115149,3146986 x1,445:9265297,3146986 x1,445:9370279,3146986 g1,445:9534244,3146986 x1,445:11042405,3146986 g1,445:11206370,3146986 x1,445:11402779,3146986 g1,445:11566744,3146986 x1,445:13402835,3146986 g1,445:13566800,3146986 x1,445:13763209,3146986 g1,445:13927174,3146986 x1,445:15172874,3146986 g1,445:15336839,3146986 x1,445:16320645,3146986 g1,445:16484610,3146986 x1,445:17140486,3146986 x1,445:17417707,3146986 g1,445:17581672,3146986 x1,445:18630373,3146986 g1,445:18794338,3146986 x1,445:19942117,3146986 g1,445:20106082,3146986 x1,445:21778208,3146986 g1,445:21942173,3146986 x1,445:23318805,3146986 g1,445:23482770,3146986 x1,445:23974673,3146986 g1,445:24138638,3146986 x1,445:24564489,3146986 x1,445:25082938,3146986 x1,445:25386691,3146986 x1,445:26390565,3146986 g1,445:26554530,3146986 g1,445:26554530,3146986 k1,445:27604879,3146986:1050349 k1,445:28655228,3146986:1050349 ) (1,445:2621442,4185433:26033786,457113,67824 (1,445:2621442,4185433:0,0,0 g1,445:2621442,4185433 g1,445:1638400,4185433 g1,445:1310720,4185433 (1,445:1310720,4185433:983042,0,0 k1,445:2293762,4185433:983042 (1,445:2293762,4185433:0,0,0 g1,445:2293762,4185433 ) ) g1,445:2621442,4185433 ) x1,445:4621506,4185433 x1,445:6425161,4185433 g1,445:6589126,4185433 x1,445:6785535,4185433 g1,445:6949500,4185433 x1,445:7933314,4185433 g1,445:8097279,4185433 x1,445:8589182,4185433 g1,445:8753147,4185433 x1,445:9736961,4185433 k1,445:19196095,4185433:9459134 k1,445:28655229,4185433:9459134 ) (1,445:2621442,5223880:26033786,426142,9727 (1,445:2621442,5223880:0,262144,0 g1,445:2621442,5223880 g1,445:1638400,5223880 g1,445:1310720,5223880 (1,445:1310720,5223880:983042,262144,0 k1,445:2293762,5223880:983042 (1,445:2293762,5223880:0,262144,0 k1,445:2091694,5223880:-202068 x1,445:2293762,5223880 ) ) g1,445:2621442,5223880 ) x1,445:4358455,5223880 g1,445:4561343,5223880 x1,445:5872505,5223880 x1,445:6850421,5223880 g1,445:7014386,5223880 x1,445:7210795,5223880 x1,445:8063080,5223880 g1,445:8227045,5223880 x1,445:9210859,5223880 g1,445:9374824,5223880 x1,445:9571233,5223880 x1,445:10751456,5223880 g1,445:10915421,5223880 k1,445:19785325,5223880:8869904 k1,445:28655229,5223880:8869904 ) (1,445:2621442,6262327:26033786,434700,126216 (1,445:2621442,6262327:0,262144,0 g1,445:2621442,6262327 g1,445:1638400,6262327 g1,445:1310720,6262327 (1,445:1310720,6262327:983042,262144,0 k1,445:2293762,6262327:983042 (1,445:2293762,6262327:0,262144,0 k1,445:2091694,6262327:-202068 x1,445:2293762,6262327 ) ) g1,445:2621442,6262327 ) x1,445:3243702,6262327 x1,445:4891060,6262327 g1,445:5055025,6262327 x1,445:6497716,6262327 g1,445:6661681,6262327 x1,445:8398694,6262327 g1,445:8562659,6262327 x1,445:9414944,6262327 g1,445:9578909,6262327 x1,445:9742874,6262327 x1,445:10249530,6262327 g1,445:10413495,6262327 x1,445:11888630,6262327 g1,445:12052595,6262327 g1,445:12052595,6262327 k1,445:20353912,6262327:8301317 k1,445:28655228,6262327:8301316 ) (1,445:3932164,7235238:24723064,434700,131234 (1,445:3932164,7235238:0,0,0 g1,445:3932164,7235238 g1,445:2949122,7235238 g1,445:2621442,7235238 (1,445:2621442,7235238:983042,0,0 k1,445:3604484,7235238:983042 (1,445:3604484,7235238:0,0,0 g1,445:3604484,7235238 ) ) g1,445:3932164,7235238 ) x1,445:4915388,7235238 g1,445:5079353,7235238 x1,445:7373747,7235238 g1,445:7537712,7235238 x1,445:8029615,7235238 g1,445:8193580,7235238 x1,445:9668715,7235238 g1,445:9832680,7235238 x1,445:10258531,7235238 x1,445:10776980,7235238 x1,445:11080733,7235238 x1,445:11724815,7235238 g1,445:11888780,7235238 x1,445:12806534,7235238 x1,445:13518455,7235238 g1,445:13682420,7235238 x1,445:14305270,7235238 g1,445:14469235,7235238 x1,445:14961138,7235238 g1,445:15125103,7235238 x1,445:16829680,7235238 x1,445:17148776,7235238 g1,445:17312741,7235238 x1,445:18460520,7235238 g1,445:18624485,7235238 x1,445:19444326,7235238 g1,445:19608291,7235238 x1,445:21607772,7235238 x1,445:21920964,7235238 g1,445:22084929,7235238 x1,445:23068743,7235238 g1,445:23232708,7235238 x1,445:23560646,7235238 x1,445:25378471,7235238 x1,445:25691663,7235238 g1,445:25855628,7235238 x1,445:27953023,7235238 g1,445:28116988,7235238 x1,445:28608891,7235238 k1,445:28655228,7235238:46337 ) (1,445:3932164,7956134:24723064,426142,126216 x1,445:5538238,7956134 x1,445:7290586,7956134 g1,445:7493474,7956134 x1,445:8509731,7956134 g1,445:8673696,7956134 x1,445:10804124,7956134 g1,445:10968089,7956134 x1,445:11918877,7956134 x1,445:12630798,7956134 g1,445:12794763,7956134 x1,445:13450639,7956134 g1,445:13614604,7956134 x1,445:15089149,7956134 x1,445:16096542,7956134 g1,445:16260507,7956134 x1,445:18882830,7956134 g1,445:19046795,7956134 x1,445:20292487,7956134 x1,445:21270403,7956134 g1,445:21434368,7956134 x1,445:22877059,7956134 g1,445:23041024,7956134 x1,445:23630840,7956134 x1,445:23952880,7956134 x1,445:24093257,7956134 g1,445:24257222,7956134 x1,445:25109507,7956134 x1,445:26706134,7956134 x1,445:27140826,7956134 g1,445:27304791,7956134 x1,445:27763668,7956134 k1,445:28655228,7956134:891560 ) (1,445:3932164,8677030:24723064,426142,9727 x1,445:4752005,8677030 g1,445:4915970,8677030 x1,445:6063167,8677030 x1,445:6250719,8677030 g1,445:6414684,8677030 x1,445:6611093,8677030 x1,445:7439775,8677030 k1,445:18047501,8677030:10607726 k1,445:28655227,8677030:10607726 ) (1,445:1310720,10022871:27344508,430862,122976 h1,445:1310720,10022871:0,0,0 g1,445:2056580,10022871 g1,445:2220545,10022871 x1,445:2712448,10022871 g1,445:2915336,10022871 x1,445:3833091,10022871 x1,445:6745019,10022871 x1,445:6900142,10022871 g1,445:7064107,10022871 x1,445:8145834,10022871 x1,445:8300957,10022871 g1,445:8464922,10022871 x1,445:9710614,10022871 g1,445:9874579,10022871 x1,445:11579149,10022871 g1,445:11743114,10022871 x1,445:11939523,10022871 g1,445:12103488,10022871 x1,445:15250166,10022871 g1,445:15414131,10022871 g1,445:15414131,10022871 k1,445:22034680,10022871:6620549 k1,445:28655228,10022871:6620548 ) (1,445:2621442,11061318:26033786,457113,122976 (1,445:2621442,11061318:0,0,0 g1,445:2621442,11061318 g1,445:1638400,11061318 g1,445:1310720,11061318 (1,445:1310720,11061318:983042,0,0 k1,445:2293762,11061318:983042 (1,445:2293762,11061318:0,0,0 g1,445:2293762,11061318 ) ) g1,445:2621442,11061318 ) x1,445:4621506,11061318 x1,445:6425161,11061318 g1,445:6589126,11061318 x1,445:7834229,11061318 x1,445:8588018,11061318 x1,445:9529374,11061318 g1,445:9693339,11061318 x1,445:9889748,11061318 g1,445:10053713,11061318 x1,445:11529430,11061318 g1,445:11693395,11061318 x1,445:12185298,11061318 g1,445:12349263,11061318 x1,445:14152918,11061318 g1,445:14316883,11061318 k1,445:21486056,11061318:7169173 k1,445:28655228,11061318:7169172 ) (1,445:2621442,12099765:26033786,430862,131234 (1,445:2621442,12099765:0,262144,0 g1,445:2621442,12099765 g1,445:1638400,12099765 g1,445:1310720,12099765 (1,445:1310720,12099765:983042,262144,0 k1,445:2293762,12099765:983042 (1,445:2293762,12099765:0,262144,0 k1,445:2091694,12099765:-202068 x1,445:2293762,12099765 ) ) g1,445:2621442,12099765 ) x1,445:4194490,12099765 g1,445:4358455,12099765 x1,445:4751863,12099765 g1,445:4915828,12099765 x1,445:6161520,12099765 g1,445:6364408,12099765 x1,445:6560817,12099765 x1,445:7741040,12099765 g1,445:7905005,12099765 x1,445:9314670,12099765 g1,445:9478635,12099765 x1,445:11182614,12099765 x1,445:11936403,12099765 x1,445:12079725,12099765 g1,445:12243690,12099765 x1,445:14177120,12099765 x1,445:15619811,12099765 x1,445:17803907,12099765 g1,445:17967872,12099765 x1,445:18295810,12099765 x1,445:20376104,12099765 g1,445:20540069,12099765 x1,445:22211613,12099765 g1,445:22375578,12099765 x1,445:22866899,12099765 x1,445:24088406,12099765 g1,445:24252371,12099765 x1,445:24711248,12099765 g1,445:24875213,12099765 x1,445:27824893,12099765 k1,445:28240061,12099765:415168 k1,445:28655228,12099765:415167 ) (1,445:2621442,13138212:26033786,426142,131234 (1,445:2621442,13138212:0,262144,0 g1,445:2621442,13138212 g1,445:1638400,13138212 g1,445:1310720,13138212 (1,445:1310720,13138212:983042,262144,0 k1,445:2293762,13138212:983042 (1,445:2293762,13138212:0,262144,0 k1,445:2091694,13138212:-202068 x1,445:2293762,13138212 ) ) g1,445:2621442,13138212 ) x1,445:4194490,13138212 g1,445:4358455,13138212 x1,445:4751863,13138212 g1,445:4915828,13138212 x1,445:6161520,13138212 g1,445:6364408,13138212 x1,445:6560817,13138212 x1,445:7741040,13138212 g1,445:7905005,13138212 x1,445:9314670,13138212 g1,445:9478635,13138212 x1,445:11182614,13138212 x1,445:12952653,13138212 x1,445:13265255,13138212 x1,445:13370237,13138212 g1,445:13534202,13138212 x1,445:15467632,13138212 x1,445:16778204,13138212 x1,445:18417304,13138212 g1,445:18581269,13138212 x1,445:19990934,13138212 g1,445:20154899,13138212 x1,445:22482318,13138212 g1,445:22646283,13138212 x1,445:24711234,13138212 g1,445:24875199,13138212 x1,445:26218804,13138212 g1,445:26382769,13138212 k1,445:27518999,13138212:1136230 k1,445:28655228,13138212:1136229 ) (1,445:1310720,14549589:27344508,430862,249194 h1,445:1310720,14549589:0,0,0 g1,445:2056580,14549589 $1,445:2056580,14549589 [1,445:2056580,14549589:21836675,430862,249194 (1,445:2056580,14549589:21836675,430862,131234 x1,445:2810369,14549589 x1,445:3227378,14549589 g1,445:3391343,14549589 x1,445:3883246,14549589 g1,445:4047211,14549589 x1,445:6407074,14549589 g1,445:6571039,14549589 x1,445:7619732,14549589 g1,445:7783697,14549589 x1,445:9422797,14549589 g1,445:9586762,14549589 x1,445:10734541,14549589 g1,445:10898506,14549589 x1,445:11554382,14549589 g1,445:11718347,14549589 x1,445:12242104,14549589 g1,445:12406069,14549589 x1,445:13717231,14549589 x1,445:13872354,14549589 g1,445:14036319,14549589 x1,445:15020133,14549589 x1,445:17067983,14549589 g1,445:17231948,14549589 $1,445:17231948,14549589 x1,445:17645408,14549589 (1,445:17645408,14549589:478287,262144,117960 [1,445:17645408,14549589:478287,262144,117960 (1,445:17645408,14549589:478287,262144,0 x1,445:18059555,14549589 ) ] ) $1,445:18123695,14549589 x1,445:18287660,14549589 g1,445:18451625,14549589 x1,445:19106321,14549589 g1,445:19270286,14549589 x1,445:20385039,14549589 g1,445:20549004,14549589 x1,445:22253573,14549589 g1,445:22417538,14549589 x1,445:23893255,14549589 ) ] $1,445:23893255,14549589 g1,445:24057220,14549589 k1,445:26356224,14549589:2299004 k1,445:28655228,14549589:2299004 ) (1,445:2621442,15401557:26033786,406323,116653 (1,445:2621442,15401557:0,262144,0 g1,445:2621442,15401557 g1,445:1638400,15401557 g1,445:1310720,15401557 (1,445:1310720,15401557:983042,262144,0 k1,445:2293762,15401557:983042 (1,445:2293762,15401557:0,262144,0 k1,445:2091694,15401557:-202068 x1,445:2293762,15401557 ) ) g1,445:2621442,15401557 ) x1,445:3903311,15401557 x1,445:4335317,15401557 g1,445:4481064,15401557 x1,445:4772565,15401557 x1,445:5347701,15401557 g1,445:5528046,15401557 x1,445:6605973,15401557 x1,445:6725505,15401557 g1,445:6871252,15401557 x1,445:7774069,15401557 x1,445:9442856,15401557 g1,445:9588603,15401557 x1,445:9938299,15401557 x1,445:10479361,15401557 g1,445:10625108,15401557 x1,445:11120033,15401557 x1,445:12556046,15401557 x1,445:12675578,15401557 g1,445:12821325,15401557 x1,445:13141664,15401557 x1,445:14186042,15401557 g1,445:14331789,15401557 x1,445:15846965,15401557 g1,445:15992712,15401557 x1,445:16167298,15401557 g1,445:16313045,15401557 x1,445:16662741,15401557 x1,445:16903918,15401557 x1,445:17356898,15401557 x1,445:18226159,15401557 g1,445:18371906,15401557 $1,445:18371906,15401557 (1,445:18371906,15401557:437744,233017,104855 [1,445:18371906,15401557:437744,233017,104855 (1,445:18371906,15401557:437744,233017,0 x1,445:18752384,15401557 ) ] ) $1,445:18809650,15401557 g1,445:18955397,15401557 x1,445:19712985,15401557 g1,445:19858732,15401557 x1,445:21170483,15401557 x1,445:21316230,15401557 g1,445:21461977,15401557 x1,445:22452870,15401557 g1,445:22598617,15401557 x1,445:23910368,15401557 k1,445:26282798,15401557:2372430 k1,445:28655228,15401557:2372430 ) ] ] (1,445:1310720,16782118:27344508,0,0 (1,445:1310720,16782118:27344508,0,0 [1,445:1310720,16782118:27344508,0,0 (1,445:1310720,16782118:27344508,0,0 (1,445:1310720,16782118:27344508,0,0 g1,445:0,16782118 (1,445:0,16782118:29834876,0,0 [1,445:0,16782118:29834876,0,0 (1,445:0,16782118:29834876,0,0 h1,445:0,16782118:0,0,0 $1,445:0,16782118 [1,445:0,16782118:20511477,-133806,-297646 (1,445:0,16411564:20511477,241500,72908 h1,445:0,16411564:0,0,0 k1,445:1305270,16411564:1305270 k1,445:1382640,16411564:77370 k1,445:1452107,16411564:69467 x1,445:2089439,16411564 x1,445:2453815,16411564 x1,445:2611103,16411564 k1,445:2680570,16411564:69467 x1,445:2789686,16411564 k1,445:2859153,16411564:69467 x1,445:3332321,16411564 x1,445:3842189,16411564 x1,445:4443149,16411564 k1,445:4512616,16411564:69467 x1,445:4785895,16411564 k1,445:4855362,16411564:69467 x1,445:5638181,16411564 k1,445:5707648,16411564:69467 x1,445:5980927,16411564 k1,445:6050394,16411564:69467 x1,445:6305326,16411564 k1,445:6374793,16411564:69467 x1,445:7321777,16411564 k1,445:7391244,16411564:69467 k1,445:27902721,16411564:20511477 k1,445:27972188,16411564:69467 x1,445:28154376,16411564 x1,445:29053841,16411564 k1,445:29053841,16411564:0 k1,445:29053841,16411564:0 ) ] $1,445:20511477,16782118 k1,445:29834876,16782118:9323399 g1,445:29834876,16782118 ) ] ) g1,445:28655228,16782118 ) g1,445:28655228,16782118 g1,445:28655228,16782118 ) h1,445:1310720,16782118:0,0,0 ] g1,445:28655228,16782118 ) ) ] ] ] !16803 }21 !11 {22 [1,469:4736286,16782118:23918942,12045832,0 (1,469:4736286,4736286:0,0,0 [1,469:0,4736286:0,0,0 (1,469:0,0:0,0,0 (1,469:0,4736286:0,0,0 k1,469:0,4736286:4736286 ) g1,469:0,0 ) ] ) [1,469:4736286,4736286:0,0,0 (1,469:4736286,-65781:0,0,0 k1,469:4736286,-65781:3491347 ) ] [1,469:4736286,16782118:23918942,12045832,0 [1,469:1310720,16782118:27344508,16782118,0 [1,469:1310720,0:27344508,0,0 (1,469:1310720,0:27344508,0,0 (1,469:1310720,0:27344508,0,0 [1,469:1310720,0:27344508,0,0 (1,469:1310720,0:-1310720,0,0 g1,469:0,0 (1,469:0,0:0,0,0 (1,469:0,16782118:29834876,16782118,0 (1,469:0,16782118:29834876,16782118,0 r1,469:29834876,16782118:29834876,16782118,0 ) ) ) (1,469:0,0:0,0,0 (1,469:0,0:0,0,0 (1,469:0,0:0,0,0 ) ) ) ) (1,469:1310720,0:-2490368,0,0 (1,469:1310720,0:0,0,0 (1,469:1310720,16782118:-1310720,16782118,0 (1,469:1310720,16782118:-1310720,16782118,0 g1,469:0,16782118 (1,469:0,16782118:0,0,0 [1,469:0,16782118:27344508,16782118,0 (1,469:0,16782118:27344508,16782118,0 h1,469:0,16782118:0,0,0 r1,469:0,16782118:0,16782118,0 k1,469:27344508,16782118:27344508 g1,469:27344508,16782118 ) ] ) [1,469:0,16782118:0,16782118,0 ] ) ) ) (1,469:1310720,0:0,0,0 (1,469:1310720,16782118:28524156,16782118,0 (1,469:1310720,16782118:28524156,16782118,0 g1,469:28655228,16782118 g1,469:29834876,16782118 (1,469:29834876,16782118:0,0,0 [1,469:29834876,16782118:27344508,16782118,0 (1,469:29834876,16782118:27344508,16782118,0 h1,469:29834876,16782118:0,0,0 r1,469:29834876,16782118:0,16782118,0 k1,469:57179384,16782118:27344508 g1,469:57179384,16782118 ) ] ) [1,469:29834876,16782118:0,16782118,0 (1,469:29834876,16192294:0,0,0 k1,469:29648397,16192294:-186479 g1,469:29834876,16192294 ) (1,469:29834876,16651046:0,0,0 k1,469:29648397,16651046:-186479 g1,469:29834876,16651046 ) ] ) ) ) (1,469:1310720,0:-2490368,0,0 g1,469:0,0 (1,469:0,0:0,0,0 [1,469:0,0:0,0,0 ] ) g1,469:-1179648,0 ) g1,469:-1179648,0 ) (1,469:1310720,0:27344508,0,0 h1,469:1310720,0:0,0,0 k1,469:28655228,0:27344508 g1,469:28655228,0 ) ] ) ) ] [1,469:1310720,16519974:27344508,16519974,0 [1,469:1310720,16519974:27344508,16519974,0 v1,469:1310720,0:0,0,0 v1,469:1310720,0:0,0,0 (1,469:1310720,1532292:27344508,655359,357028 h1,469:1310720,1532292:0,0,0 g1,469:2056580,1532292 $1,469:2056580,1532292 [1,469:2056580,1532292:4282874,579600,357028 (1,469:2056580,1532292:4282874,579600,168288 x1,469:5946238,1532292 x1,469:6339454,1532292 ) ] $1,469:6339454,1532292 x1,469:6979513,1532292 k1,469:17817371,1532292:10837858 k1,469:28655229,1532292:10837858 ) v1,469:1310720,2053160:0,0,0 (1,469:1310720,3585452:27344508,478735,140240 h1,469:1310720,3585452:0,0,0 g1,469:2056580,3585452 x1,469:3586171,3585452 g1,469:3768354,3585452 x1,469:4497106,3585452 x1,469:4811682,3585452 x1,469:5159674,3585452 g1,469:5341857,3585452 x1,469:5524040,3585452 g1,469:5706223,3585452 x1,469:8146111,3585452 g1,469:8371542,3585452 x1,469:9973230,3585452 g1,469:10198661,3585452 x1,469:10926757,3585452 g1,469:11108940,3585452 x1,469:11436620,3585452 x1,469:11787892,3585452 x1,469:12102468,3585452 g1,469:12284651,3585452 x1,469:12831210,3585452 g1,469:13013393,3585452 x1,469:13377769,3585452 g1,469:13559952,3585452 x1,469:14397487,3585452 g1,469:14579670,3585452 x1,469:17566755,3585452 x1,469:17914747,3585452 g1,469:18096930,3585452 x1,469:20464074,3585452 g1,469:20646257,3585452 k1,469:24650743,3585452:4004486 k1,469:28655228,3585452:4004485 ) (1,469:2621442,5150512:26033786,478735,145816 (1,469:2621442,5150512:0,291271,0 g1,469:2621442,5150512 g1,469:1638400,5150512 g1,469:1310720,5150512 (1,469:1310720,5150512:983042,291271,0 k1,469:2293762,5150512:983042 (1,469:2293762,5150512:0,291271,0 k1,469:2075309,5150512:-218453 x1,469:2293762,5150512 ) ) g1,469:2621442,5150512 ) x1,469:4806386,5150512 x1,469:5871985,5150512 g1,469:6054168,5150512 x1,469:6527336,5150512 x1,469:7938320,5150512 x1,469:8110679,5150512 g1,469:8292862,5150512 x1,469:10477806,5150512 x1,469:11543405,5150512 g1,469:11725588,5150512 x1,469:12308196,5150512 x1,469:13155563,5150512 g1,469:13337746,5150512 x1,469:13884305,5150512 g1,469:14066488,5150512 x1,469:15960455,5150512 g1,469:16142638,5150512 k1,469:22398933,5150512:6256295 k1,469:28655228,5150512:6256295 ) (1,469:2621442,6682804:26033786,473491,140240 (1,469:2621442,6682804:0,291271,0 g1,469:2621442,6682804 g1,469:1638400,6682804 g1,469:1310720,6682804 (1,469:1310720,6682804:983042,291271,0 k1,469:2293762,6682804:983042 (1,469:2293762,6682804:0,291271,0 k1,469:2075309,6682804:-218453 x1,469:2293762,6682804 ) ) g1,469:2621442,6682804 ) x1,469:5243514,6682804 x1,469:7008384,6682804 g1,469:7190567,6682804 x1,469:8283695,6682804 g1,469:8465878,6682804 x1,469:9886030,6682804 x1,469:10833014,6682804 x1,469:13445236,6682804 g1,469:13627419,6682804 k1,469:21141324,6682804:7513905 k1,469:28655228,6682804:7513904 ) (1,469:2621442,8215096:26033786,478735,145816 (1,469:2621442,8215096:0,291271,0 g1,469:2621442,8215096 g1,469:1638400,8215096 g1,469:1310720,8215096 (1,469:1310720,8215096:983042,291271,0 k1,469:2293762,8215096:983042 (1,469:2293762,8215096:0,291271,0 k1,469:2075309,8215096:-218453 x1,469:2293762,8215096 ) ) g1,469:2621442,8215096 ) x1,469:6301250,8215096 g1,469:6483433,8215096 x1,469:6701665,8215096 x1,469:8990151,8215096 g1,469:9172334,8215096 x1,469:9718893,8215096 g1,469:9901076,8215096 x1,469:10374244,8215096 x1,469:10950299,8215096 x1,469:11287802,8215096 x1,469:12184986,8215096 g1,469:12367169,8215096 x1,469:13204713,8215096 g1,469:13386896,8215096 x1,469:13714576,8215096 x1,469:15774360,8215096 g1,469:15956543,8215096 x1,469:18069398,8215096 g1,469:18251581,8215096 x1,469:18688701,8215096 g1,469:18870884,8215096 x1,469:21201971,8215096 g1,469:21384154,8215096 x1,469:23350874,8215096 g1,469:23533057,8215096 k1,469:26094143,8215096:2561086 k1,469:28655228,8215096:2561085 ) (1,469:2621442,9747388:26033786,478735,212718 (1,469:2621442,9747388:0,291271,0 g1,469:2621442,9747388 g1,469:1638400,9747388 g1,469:1310720,9747388 (1,469:1310720,9747388:983042,291271,0 k1,469:2293762,9747388:983042 (1,469:2293762,9747388:0,291271,0 k1,469:2075309,9747388:-218453 x1,469:2293762,9747388 ) ) g1,469:2621442,9747388 ) x1,469:5681290,9747388 x1,469:5999146,9747388 g1,469:6181329,9747388 x1,469:6727878,9747388 g1,469:6953309,9747388 x1,469:8845973,9747388 g1,469:9028156,9747388 x1,469:10958828,9747388 g1,469:11141011,9747388 x1,469:11687570,9747388 g1,469:11869753,9747388 x1,469:13325953,9747388 g1,469:13508136,9747388 x1,469:15948653,9747388 g1,469:16174084,9747388 x1,469:17740380,9747388 g1,469:17922563,9747388 x1,469:19124483,9747388 g1,469:19306666,9747388 x1,469:19816530,9747388 g1,469:19998713,9747388 x1,469:20363089,9747388 g1,469:20545272,9747388 x1,469:22074864,9747388 x1,469:22538208,9747388 g1,469:22720391,9747388 x1,469:24432175,9747388 x1,469:24895519,9747388 g1,469:25077702,9747388 x1,469:25624261,9747388 g1,469:25806444,9747388 $1,469:25806444,9747388 x1,469:26091344,9747388 (1,469:26091344,9863259:219459,341315,96847 x1,469:26232293,9863259 ) $1,469:26310803,9747388 k1,469:27483016,9747388:1172213 k1,469:28655228,9747388:1172212 ) (1,469:2621442,11279680:26033786,483000,140240 (1,469:2621442,11279680:0,291271,0 g1,469:2621442,11279680 g1,469:1638400,11279680 g1,469:1310720,11279680 (1,469:1310720,11279680:983042,291271,0 k1,469:2293762,11279680:983042 (1,469:2293762,11279680:0,291271,0 k1,469:2075309,11279680:-218453 x1,469:2293762,11279680 ) ) g1,469:2621442,11279680 ) x1,469:3932792,11279680 x1,469:5674718,11279680 g1,469:5856901,11279680 x1,469:6403460,11279680 g1,469:6585643,11279680 x1,469:8078538,11279680 g1,469:8260721,11279680 x1,469:9353849,11279680 g1,469:9536032,11279680 x1,469:12595880,11279680 x1,469:12913736,11279680 k1,469:20784482,11279680:7870746 k1,469:28655228,11279680:7870746 ) (1,469:1310720,12844740:27344508,478735,145816 g1,469:2056580,12844740 g1,469:2238763,12844740 x1,469:2675883,12844740 x1,469:3961034,12844740 g1,469:4143217,12844740 x1,469:5381832,12844740 g1,469:5564015,12844740 x1,469:7968493,12844740 g1,469:8150676,12844740 x1,469:8515052,12844740 g1,469:8697235,12844740 x1,469:9097650,12844740 x1,469:9945017,12844740 g1,469:10127200,12844740 x1,469:11838328,12844740 x1,469:12904583,12844740 x1,469:13401343,12844740 g1,469:13583526,12844740 x1,469:14457765,12844740 g1,469:14639948,12844740 x1,469:15186507,12844740 g1,469:15368690,12844740 x1,469:16242930,12844740 x1,469:18567464,12844740 x1,469:18739823,12844740 g1,469:18965254,12844740 k1,469:23810241,12844740:4844987 k1,469:28655228,12844740:4844987 ) (1,469:2621442,14409800:26033786,473491,145816 (1,469:2621442,14409800:0,0,0 g1,469:2621442,14409800 g1,469:1638400,14409800 g1,469:1310720,14409800 (1,469:1310720,14409800:983042,0,0 k1,469:2293762,14409800:983042 (1,469:2293762,14409800:0,0,0 g1,469:2293762,14409800 ) ) g1,469:2621442,14409800 ) x1,469:3167991,14409800 g1,469:3393422,14409800 x1,469:4595342,14409800 g1,469:4777525,14409800 x1,469:6379861,14409800 x1,469:7263924,14409800 g1,469:7446107,14409800 x1,469:9923338,14409800 g1,469:10105521,14409800 x1,469:10578689,14409800 g1,469:10760872,14409800 x1,469:13091959,14409800 g1,469:13274142,14409800 x1,469:14548806,14409800 x1,469:14975429,14409800 x1,469:15193661,14409800 x1,469:15932236,14409800 g1,469:16114419,14409800 x1,469:19137571,14409800 g1,469:19319754,14409800 x1,469:20266738,14409800 g1,469:20448921,14409800 x1,469:22670568,14409800 g1,469:22852751,14409800 x1,469:24381695,14409800 k1,469:26518462,14409800:2136767 k1,469:28655228,14409800:2136766 ) ] ] (1,469:1310720,16782118:27344508,0,0 (1,469:1310720,16782118:27344508,0,0 [1,469:1310720,16782118:27344508,0,0 (1,469:1310720,16782118:27344508,0,0 (1,469:1310720,16782118:27344508,0,0 g1,469:0,16782118 (1,469:0,16782118:29834876,0,0 [1,469:0,16782118:29834876,0,0 (1,469:0,16782118:29834876,0,0 h1,469:0,16782118:0,0,0 $1,469:0,16782118 [1,469:0,16782118:20511477,-133806,-297646 (1,469:0,16411564:20511477,241500,72908 h1,469:0,16411564:0,0,0 k1,469:1305270,16411564:1305270 k1,469:1382640,16411564:77370 k1,469:1452107,16411564:69467 x1,469:2089439,16411564 x1,469:2453815,16411564 x1,469:2611103,16411564 k1,469:2680570,16411564:69467 x1,469:2789686,16411564 k1,469:2859153,16411564:69467 x1,469:3332321,16411564 x1,469:3842189,16411564 x1,469:4443149,16411564 k1,469:4512616,16411564:69467 x1,469:4785895,16411564 k1,469:4855362,16411564:69467 x1,469:5638181,16411564 k1,469:5707648,16411564:69467 x1,469:5980927,16411564 k1,469:6050394,16411564:69467 x1,469:6305326,16411564 k1,469:6374793,16411564:69467 x1,469:7321777,16411564 k1,469:7391244,16411564:69467 k1,469:27902721,16411564:20511477 k1,469:27972188,16411564:69467 x1,469:28154376,16411564 x1,469:29053841,16411564 k1,469:29053841,16411564:0 k1,469:29053841,16411564:0 ) ] $1,469:20511477,16782118 k1,469:29834876,16782118:9323399 g1,469:29834876,16782118 ) ] ) g1,469:28655228,16782118 ) g1,469:28655228,16782118 g1,469:28655228,16782118 ) h1,469:1310720,16782118:0,0,0 ] g1,469:28655228,16782118 ) ) ] ] ] !10987 }22 !11 {23 [1,492:4736286,16782118:23918942,12045832,0 (1,492:4736286,4736286:0,0,0 [1,492:0,4736286:0,0,0 (1,492:0,0:0,0,0 (1,492:0,4736286:0,0,0 k1,492:0,4736286:4736286 ) g1,492:0,0 ) ] ) [1,492:4736286,4736286:0,0,0 (1,492:4736286,-65781:0,0,0 k1,492:4736286,-65781:3491347 ) ] [1,492:4736286,16782118:23918942,12045832,0 [1,492:1310720,16782118:27344508,16782118,0 [1,492:1310720,0:27344508,0,0 (1,492:1310720,0:27344508,0,0 (1,492:1310720,0:27344508,0,0 [1,492:1310720,0:27344508,0,0 (1,492:1310720,0:-1310720,0,0 g1,492:0,0 (1,492:0,0:0,0,0 (1,492:0,16782118:29834876,16782118,0 (1,492:0,16782118:29834876,16782118,0 r1,492:29834876,16782118:29834876,16782118,0 ) ) ) (1,492:0,0:0,0,0 (1,492:0,0:0,0,0 (1,492:0,0:0,0,0 ) ) ) ) (1,492:1310720,0:-2490368,0,0 (1,492:1310720,0:0,0,0 (1,492:1310720,16782118:-1310720,16782118,0 (1,492:1310720,16782118:-1310720,16782118,0 g1,492:0,16782118 (1,492:0,16782118:0,0,0 [1,492:0,16782118:27344508,16782118,0 (1,492:0,16782118:27344508,16782118,0 h1,492:0,16782118:0,0,0 r1,492:0,16782118:0,16782118,0 k1,492:27344508,16782118:27344508 g1,492:27344508,16782118 ) ] ) [1,492:0,16782118:0,16782118,0 ] ) ) ) (1,492:1310720,0:0,0,0 (1,492:1310720,16782118:28524156,16782118,0 (1,492:1310720,16782118:28524156,16782118,0 g1,492:28655228,16782118 g1,492:29834876,16782118 (1,492:29834876,16782118:0,0,0 [1,492:29834876,16782118:27344508,16782118,0 (1,492:29834876,16782118:27344508,16782118,0 h1,492:29834876,16782118:0,0,0 r1,492:29834876,16782118:0,16782118,0 k1,492:57179384,16782118:27344508 g1,492:57179384,16782118 ) ] ) [1,492:29834876,16782118:0,16782118,0 (1,492:29834876,16192294:0,0,0 k1,492:29648397,16192294:-186479 g1,492:29834876,16192294 ) (1,492:29834876,16651046:0,0,0 k1,492:29648397,16651046:-186479 g1,492:29834876,16651046 ) ] ) ) ) (1,492:1310720,0:-2490368,0,0 g1,492:0,0 (1,492:0,0:0,0,0 [1,492:0,0:0,0,0 ] ) g1,492:-1179648,0 ) g1,492:-1179648,0 ) (1,492:1310720,0:27344508,0,0 h1,492:1310720,0:0,0,0 k1,492:28655228,0:27344508 g1,492:28655228,0 ) ] ) ) ] [1,492:1310720,16519974:27344508,16519974,0 [1,492:1310720,16519974:27344508,16519974,0 v1,492:1310720,0:0,0,0 v1,492:1310720,0:0,0,0 (1,492:1310720,1532292:27344508,655359,357028 h1,492:1310720,1532292:0,0,0 g1,492:2056580,1532292 $1,492:2056580,1532292 [1,492:2056580,1532292:10701647,574482,357028 (1,492:2056580,1532292:10701647,574482,168288 x1,492:2581124,1532292 x1,492:4333275,1532292 x1,492:4750865,1532292 g1,492:4969485,1532292 x1,492:5406736,1532292 x1,492:5843987,1532292 x1,492:6869486,1532292 g1,492:7088106,1532292 x1,492:8486363,1532292 g1,492:8704983,1532292 x1,492:12376800,1532292 x1,492:12758227,1532292 ) ] $1,492:12758227,1532292 x1,492:13398286,1532292 k1,492:21026757,1532292:7628471 k1,492:28655228,1532292:7628471 ) [1,492:1310720,2053160:0,0,0 [1,492:1310720,2053160:0,0,0 ] [1,492:1310720,2053160:0,0,0 ] ] v1,492:1310720,2053160:0,0,0 (1,492:1310720,13755369:27344508,11188078,0 k1,492:2802571,13755369:1491851 (1,492:2802571,13755369:0,0,0 g1,492:2802571,13755369 g1,492:2802571,13755369 g1,492:2802571,13755369 g1,492:2474891,13755369 (1,492:2474891,13755369:0,0,0 ) g1,492:2802571,13755369 g1,492:2802571,13755369 g1,492:2802571,13755369 g1,492:2802571,13755369 g1,492:2474891,13755369 (1,492:2474891,13755369:0,0,0 ) g1,492:2802571,13755369 ) r1,492:2802571,13755369:0,11188078,0 g1,492:1870232,13755369 g1,492:1870232,13755369 g1,492:2052415,13755369 (1,492:2052415,13755369:13977889,9323399,0 (1,492:2052415,13755369:13977889,9323399,0 (1,492:2052415,13755369:13977889,9323399,0 (1,492:2052415,13755369:13977889,9323399,0 (1,492:2052415,13755369:13977889,9323399,0 (1,492:2052415,13755369:13977923,9323525,0 (1,492:2052415,13755369:13977923,9323525,0 (1,492:2052415,13755369:0,9323525,0 (1,492:2052415,13755369:0,19987130,0 (1,492:2052415,13755369:29964907,19987130,0 ) k1,492:2052414,13755369:-29964908 ) ) g1,492:16030338,13755369 ) ) ) ) ) ) ) g1,492:16212487,13755369 g1,492:16394670,13755369 (1,492:16394670,13755369:10768707,11188078,0 (1,492:16394670,13755369:10768707,11188078,0 (1,492:16394670,13755369:10768707,11188078,0 (1,492:16394670,13755369:10768707,11188078,0 (1,492:16394670,13755369:10768707,11188078,0 (1,492:16394670,13755369:10768734,11188048,0 (1,492:16394670,13755369:10768734,11188048,0 (1,492:16394670,13755369:0,11188048,0 (1,492:16394670,13755369:0,19306947,0 (1,492:16394670,13755369:18583347,19306947,0 ) k1,492:16394669,13755369:-18583348 ) ) g1,492:27163404,13755369 ) ) ) ) ) ) ) g1,492:27163377,13755369 k1,492:28655228,13755369:1491851 ) (1,492:2621442,15023192:26033786,483000,145816 (1,492:2621442,15023192:0,291271,0 g1,492:2621442,15023192 g1,492:1638400,15023192 g1,492:1310720,15023192 (1,492:1310720,15023192:983042,291271,0 k1,492:2293762,15023192:983042 (1,492:2293762,15023192:0,291271,0 k1,492:2075309,15023192:-218453 x1,492:2293762,15023192 ) ) g1,492:2621442,15023192 ) x1,492:4260482,15023192 g1,492:4442665,15023192 x1,492:5571185,15023192 x1,492:6637440,15023192 x1,492:7792840,15023192 g1,492:7975023,15023192 x1,492:9722846,15023192 x1,492:10544006,15023192 g1,492:10726189,15023192 x1,492:12255781,15023192 x1,492:12719125,15023192 x1,492:12861987,15023192 g1,492:13044170,15023192 x1,492:13226353,15023192 x1,492:13571072,15023192 x1,492:14031136,15023192 g1,492:14213319,15023192 x1,492:14686487,15023192 x1,492:15262542,15023192 x1,492:15600045,15023192 x1,492:16497229,15023192 g1,492:16679412,15023192 x1,492:17189276,15023192 g1,492:17371459,15023192 x1,492:18282394,15023192 g1,492:18464577,15023192 x1,492:21669913,15023192 x1,492:22133257,15023192 g1,492:22315440,15023192 x1,492:22533672,15023192 x1,492:23984623,15023192 k1,492:26319926,15023192:2335303 k1,492:28655228,15023192:2335302 ) ] ] (1,492:1310720,16782118:27344508,0,0 (1,492:1310720,16782118:27344508,0,0 [1,492:1310720,16782118:27344508,0,0 (1,492:1310720,16782118:27344508,0,0 (1,492:1310720,16782118:27344508,0,0 g1,492:0,16782118 (1,492:0,16782118:29834876,0,0 [1,492:0,16782118:29834876,0,0 (1,492:0,16782118:29834876,0,0 h1,492:0,16782118:0,0,0 $1,492:0,16782118 [1,492:0,16782118:20511477,-133806,-297646 (1,492:0,16411564:20511477,241500,72908 h1,492:0,16411564:0,0,0 k1,492:1305270,16411564:1305270 k1,492:1382640,16411564:77370 k1,492:1452107,16411564:69467 x1,492:2089439,16411564 x1,492:2453815,16411564 x1,492:2611103,16411564 k1,492:2680570,16411564:69467 x1,492:2789686,16411564 k1,492:2859153,16411564:69467 x1,492:3332321,16411564 x1,492:3842189,16411564 x1,492:4443149,16411564 k1,492:4512616,16411564:69467 x1,492:4785895,16411564 k1,492:4855362,16411564:69467 x1,492:5638181,16411564 k1,492:5707648,16411564:69467 x1,492:5980927,16411564 k1,492:6050394,16411564:69467 x1,492:6305326,16411564 k1,492:6374793,16411564:69467 x1,492:7321777,16411564 k1,492:7391244,16411564:69467 k1,492:27902721,16411564:20511477 k1,492:27972188,16411564:69467 x1,492:28154376,16411564 x1,492:29053841,16411564 k1,492:29053841,16411564:0 k1,492:29053841,16411564:0 ) ] $1,492:20511477,16782118 k1,492:29834876,16782118:9323399 g1,492:29834876,16782118 ) ] ) g1,492:28655228,16782118 ) g1,492:28655228,16782118 g1,492:28655228,16782118 ) h1,492:1310720,16782118:0,0,0 ] g1,492:28655228,16782118 ) ) ] ] ] !7188 }23 !10 {24 [1,492:4736286,16782118:23918942,12045832,0 (1,492:4736286,4736286:0,0,0 [1,492:0,4736286:0,0,0 (1,492:0,0:0,0,0 (1,492:0,4736286:0,0,0 k1,492:0,4736286:4736286 ) g1,492:0,0 ) ] ) [1,492:4736286,4736286:0,0,0 (1,492:4736286,-65781:0,0,0 k1,492:4736286,-65781:3491347 ) ] [1,492:4736286,16782118:23918942,12045832,0 [1,492:1310720,16782118:27344508,16782118,0 [1,492:1310720,0:27344508,0,0 (1,492:1310720,0:27344508,0,0 (1,492:1310720,0:27344508,0,0 [1,492:1310720,0:27344508,0,0 (1,492:1310720,0:-1310720,0,0 g1,492:0,0 (1,492:0,0:0,0,0 (1,492:0,16782118:29834876,16782118,0 (1,492:0,16782118:29834876,16782118,0 r1,492:29834876,16782118:29834876,16782118,0 ) ) ) (1,492:0,0:0,0,0 (1,492:0,0:0,0,0 (1,492:0,0:0,0,0 ) ) ) ) (1,492:1310720,0:-2490368,0,0 (1,492:1310720,0:0,0,0 (1,492:1310720,16782118:-1310720,16782118,0 (1,492:1310720,16782118:-1310720,16782118,0 g1,492:0,16782118 (1,492:0,16782118:0,0,0 [1,492:0,16782118:27344508,16782118,0 (1,492:0,16782118:27344508,16782118,0 h1,492:0,16782118:0,0,0 r1,492:0,16782118:0,16782118,0 k1,492:27344508,16782118:27344508 g1,492:27344508,16782118 ) ] ) [1,492:0,16782118:0,16782118,0 ] ) ) ) (1,492:1310720,0:0,0,0 (1,492:1310720,16782118:28524156,16782118,0 (1,492:1310720,16782118:28524156,16782118,0 g1,492:28655228,16782118 g1,492:29834876,16782118 (1,492:29834876,16782118:0,0,0 [1,492:29834876,16782118:27344508,16782118,0 (1,492:29834876,16782118:27344508,16782118,0 h1,492:29834876,16782118:0,0,0 r1,492:29834876,16782118:0,16782118,0 k1,492:57179384,16782118:27344508 g1,492:57179384,16782118 ) ] ) [1,492:29834876,16782118:0,16782118,0 (1,492:29834876,16192294:0,0,0 k1,492:29648397,16192294:-186479 g1,492:29834876,16192294 ) (1,492:29834876,16651046:0,0,0 k1,492:29648397,16651046:-186479 g1,492:29834876,16651046 ) ] ) ) ) (1,492:1310720,0:-2490368,0,0 g1,492:0,0 (1,492:0,0:0,0,0 [1,492:0,0:0,0,0 ] ) g1,492:-1179648,0 ) g1,492:-1179648,0 ) (1,492:1310720,0:27344508,0,0 h1,492:1310720,0:0,0,0 k1,492:28655228,0:27344508 g1,492:28655228,0 ) ] ) ) ] [1,492:1310720,16519974:27344508,16519974,0 [1,492:1310720,16519974:27344508,16519974,0 v1,492:1310720,0:0,0,0 v1,492:1310720,0:0,0,0 (1,492:1310720,1532292:27344508,655359,357028 h1,492:1310720,1532292:0,0,0 g1,492:2056580,1532292 $1,492:2056580,1532292 [1,492:2056580,1532292:10701647,574482,357028 (1,492:2056580,1532292:10701647,574482,168288 x1,492:2581124,1532292 x1,492:4333275,1532292 x1,492:4750865,1532292 g1,492:4969485,1532292 x1,492:5406736,1532292 x1,492:5843987,1532292 x1,492:6869486,1532292 g1,492:7088106,1532292 x1,492:8486363,1532292 g1,492:8704983,1532292 x1,492:12376800,1532292 x1,492:12758227,1532292 ) ] $1,492:12758227,1532292 x1,492:13398286,1532292 k1,492:21026757,1532292:7628471 k1,492:28655228,1532292:7628471 ) [1,492:1310720,2053160:0,0,0 [1,492:1310720,2053160:0,0,0 ] ] v1,492:1310720,2053160:0,0,0 (1,492:1310720,13755369:27344508,11188078,0 k1,492:2904443,13755369:1593723 (1,492:2904443,13755369:0,0,0 g1,492:2904443,13755369 g1,492:2904443,13755369 g1,492:2904443,13755369 g1,492:2576763,13755369 (1,492:2576763,13755369:0,0,0 ) g1,492:2904443,13755369 g1,492:2904443,13755369 g1,492:2904443,13755369 g1,492:2904443,13755369 g1,492:2576763,13755369 (1,492:2576763,13755369:0,0,0 ) g1,492:2904443,13755369 ) r1,492:2904443,13755369:0,11188078,0 g1,492:1972104,13755369 g1,492:1972104,13755369 g1,492:2154287,13755369 g1,492:2336470,13755369 (1,492:2336470,13755369:13774146,9323399,0 (1,492:2336470,13755369:13774146,9323399,0 (1,492:2336470,13755369:13774146,9323399,0 (1,492:2336470,13755369:13774146,9323399,0 (1,492:2336470,13755369:13774146,9323399,0 (1,492:2336470,13755369:13774180,9323432,0 (1,492:2336470,13755369:13774180,9323432,0 (1,492:2336470,13755369:0,9323432,0 (1,492:2336470,13755369:0,22530253,0 (1,492:2336470,13755369:33285571,22530253,0 ) k1,492:2336469,13755369:-33285572 ) ) g1,492:16110650,13755369 ) ) ) ) ) ) ) g1,492:16292799,13755369 (1,492:16292799,13755369:10768707,11188078,0 (1,492:16292799,13755369:10768707,11188078,0 (1,492:16292799,13755369:10768707,11188078,0 (1,492:16292799,13755369:10768707,11188078,0 (1,492:16292799,13755369:10768707,11188078,0 (1,492:16292799,13755369:10768734,11188048,0 (1,492:16292799,13755369:10768734,11188048,0 (1,492:16292799,13755369:0,11188048,0 (1,492:16292799,13755369:0,19306947,0 (1,492:16292799,13755369:18583347,19306947,0 ) k1,492:16292798,13755369:-18583348 ) ) g1,492:27061533,13755369 ) ) ) ) ) ) ) g1,492:27061506,13755369 k1,492:28655228,13755369:1593722 ) (1,492:2621442,15023192:26033786,483000,145816 (1,492:2621442,15023192:0,291271,0 g1,492:2621442,15023192 g1,492:1638400,15023192 g1,492:1310720,15023192 (1,492:1310720,15023192:983042,291271,0 k1,492:2293762,15023192:983042 (1,492:2293762,15023192:0,291271,0 k1,492:2075309,15023192:-218453 x1,492:2293762,15023192 ) ) g1,492:2621442,15023192 ) x1,492:4260482,15023192 g1,492:4442665,15023192 x1,492:5571185,15023192 x1,492:6637440,15023192 x1,492:7792840,15023192 g1,492:7975023,15023192 x1,492:9722846,15023192 x1,492:10544006,15023192 g1,492:10726189,15023192 x1,492:12255781,15023192 x1,492:12719125,15023192 x1,492:12861987,15023192 g1,492:13044170,15023192 x1,492:13226353,15023192 x1,492:13571072,15023192 x1,492:14031136,15023192 g1,492:14213319,15023192 x1,492:14686487,15023192 x1,492:15262542,15023192 x1,492:15600045,15023192 x1,492:16497229,15023192 g1,492:16679412,15023192 x1,492:17189276,15023192 g1,492:17371459,15023192 x1,492:18282394,15023192 g1,492:18464577,15023192 x1,492:21669913,15023192 x1,492:22133257,15023192 g1,492:22315440,15023192 x1,492:22533672,15023192 x1,492:23984623,15023192 k1,492:26319926,15023192:2335303 k1,492:28655228,15023192:2335302 ) ] ] (1,492:1310720,16782118:27344508,0,0 (1,492:1310720,16782118:27344508,0,0 [1,492:1310720,16782118:27344508,0,0 (1,492:1310720,16782118:27344508,0,0 (1,492:1310720,16782118:27344508,0,0 g1,492:0,16782118 (1,492:0,16782118:29834876,0,0 [1,492:0,16782118:29834876,0,0 (1,492:0,16782118:29834876,0,0 h1,492:0,16782118:0,0,0 $1,492:0,16782118 [1,492:0,16782118:20511477,-133806,-297646 (1,492:0,16411564:20511477,241500,72908 h1,492:0,16411564:0,0,0 k1,492:1305270,16411564:1305270 k1,492:1382640,16411564:77370 k1,492:1452107,16411564:69467 x1,492:2089439,16411564 x1,492:2453815,16411564 x1,492:2611103,16411564 k1,492:2680570,16411564:69467 x1,492:2789686,16411564 k1,492:2859153,16411564:69467 x1,492:3332321,16411564 x1,492:3842189,16411564 x1,492:4443149,16411564 k1,492:4512616,16411564:69467 x1,492:4785895,16411564 k1,492:4855362,16411564:69467 x1,492:5638181,16411564 k1,492:5707648,16411564:69467 x1,492:5980927,16411564 k1,492:6050394,16411564:69467 x1,492:6305326,16411564 k1,492:6374793,16411564:69467 x1,492:7321777,16411564 k1,492:7391244,16411564:69467 k1,492:27902721,16411564:20511477 k1,492:27972188,16411564:69467 x1,492:28154376,16411564 x1,492:29053841,16411564 k1,492:29053841,16411564:0 k1,492:29053841,16411564:0 ) ] $1,492:20511477,16782118 k1,492:29834876,16782118:9323399 g1,492:29834876,16782118 ) ] ) g1,492:28655228,16782118 ) g1,492:28655228,16782118 g1,492:28655228,16782118 ) h1,492:1310720,16782118:0,0,0 ] g1,492:28655228,16782118 ) ) ] ] ] !7156 }24 !10 {25 [1,506:4736286,16782118:23918942,12045832,0 (1,506:4736286,4736286:0,0,0 [1,506:0,4736286:0,0,0 (1,506:0,0:0,0,0 (1,506:0,4736286:0,0,0 k1,506:0,4736286:4736286 ) g1,506:0,0 ) ] ) [1,506:4736286,4736286:0,0,0 (1,506:4736286,-65781:0,0,0 k1,506:4736286,-65781:3491347 ) ] [1,506:4736286,16782118:23918942,12045832,0 [1,506:1310720,16782118:27344508,16782118,0 [1,506:1310720,0:27344508,0,0 (1,506:1310720,0:27344508,0,0 (1,506:1310720,0:27344508,0,0 [1,506:1310720,0:27344508,0,0 (1,506:1310720,0:-1310720,0,0 g1,506:0,0 (1,506:0,0:0,0,0 (1,506:0,16782118:29834876,16782118,0 (1,506:0,16782118:29834876,16782118,0 r1,506:29834876,16782118:29834876,16782118,0 ) ) ) (1,506:0,0:0,0,0 (1,506:0,0:0,0,0 (1,506:0,0:0,0,0 ) ) ) ) (1,506:1310720,0:-2490368,0,0 (1,506:1310720,0:0,0,0 (1,506:1310720,16782118:-1310720,16782118,0 (1,506:1310720,16782118:-1310720,16782118,0 g1,506:0,16782118 (1,506:0,16782118:0,0,0 [1,506:0,16782118:27344508,16782118,0 (1,506:0,16782118:27344508,16782118,0 h1,506:0,16782118:0,0,0 r1,506:0,16782118:0,16782118,0 k1,506:27344508,16782118:27344508 g1,506:27344508,16782118 ) ] ) [1,506:0,16782118:0,16782118,0 ] ) ) ) (1,506:1310720,0:0,0,0 (1,506:1310720,16782118:28524156,16782118,0 (1,506:1310720,16782118:28524156,16782118,0 g1,506:28655228,16782118 g1,506:29834876,16782118 (1,506:29834876,16782118:0,0,0 [1,506:29834876,16782118:27344508,16782118,0 (1,506:29834876,16782118:27344508,16782118,0 h1,506:29834876,16782118:0,0,0 r1,506:29834876,16782118:0,16782118,0 k1,506:57179384,16782118:27344508 g1,506:57179384,16782118 ) ] ) [1,506:29834876,16782118:0,16782118,0 (1,506:29834876,16192294:0,0,0 k1,506:29648397,16192294:-186479 g1,506:29834876,16192294 ) (1,506:29834876,16651046:0,0,0 k1,506:29648397,16651046:-186479 g1,506:29834876,16651046 ) ] ) ) ) (1,506:1310720,0:-2490368,0,0 g1,506:0,0 (1,506:0,0:0,0,0 [1,506:0,0:0,0,0 ] ) g1,506:-1179648,0 ) g1,506:-1179648,0 ) (1,506:1310720,0:27344508,0,0 h1,506:1310720,0:0,0,0 k1,506:28655228,0:27344508 g1,506:28655228,0 ) ] ) ) ] [1,506:1310720,16519974:27344508,16519974,0 [1,506:1310720,16519974:27344508,16519974,0 v1,506:1310720,0:0,0,0 v1,506:1310720,0:0,0,0 (1,506:1310720,1532292:27344508,655359,188740 h1,506:1310720,1532292:0,0,0 g1,506:2056580,1532292 $1,506:2056580,1532292 [1,506:2056580,1532292:0,0,188740 (1,506:2056580,1532292:0,0,0 ) ] $1,506:2056580,1532292 x1,506:2696639,1532292 k1,506:15675933,1532292:12979294 k1,506:28655227,1532292:12979294 ) [1,506:1310720,1884872:0,0,0 [1,506:1310720,1884872:0,0,0 ] [1,506:1310720,1884872:0,0,0 ] ] v1,506:1310720,1884872:0,0,0 (1,506:1310720,7505964:27344508,818193,16975 k1,506:10316162,7505964:9005442 (1,506:10316162,7505964:0,0,0 g1,506:10316162,7505964 g1,506:10316162,7505964 g1,506:9988482,7505964 (1,506:9988482,7505964:0,0,0 ) g1,506:10316162,7505964 ) r1,506:10316162,7505964:0,835168,16975 g1,506:10595852,7505964 g1,506:10595852,7505964 x1,506:15776812,7505964 g1,506:16091625,7505964 x1,506:19649785,7505964 g1,506:19649785,7505964 k1,506:28655227,7505964:9005442 ) ] ] (1,506:1310720,16782118:27344508,0,0 (1,506:1310720,16782118:27344508,0,0 [1,506:1310720,16782118:27344508,0,0 (1,506:1310720,16782118:27344508,0,0 (1,506:1310720,16782118:27344508,0,0 g1,506:0,16782118 (1,506:0,16782118:29834876,0,0 [1,506:0,16782118:29834876,0,0 (1,506:0,16782118:29834876,0,0 h1,506:0,16782118:0,0,0 $1,506:0,16782118 [1,506:0,16782118:20511477,-133806,-297646 (1,506:0,16411564:20511477,241500,72908 h1,506:0,16411564:0,0,0 k1,506:1305270,16411564:1305270 k1,506:1382640,16411564:77370 k1,506:1452107,16411564:69467 x1,506:2089439,16411564 x1,506:2453815,16411564 x1,506:2611103,16411564 k1,506:2680570,16411564:69467 x1,506:2789686,16411564 k1,506:2859153,16411564:69467 x1,506:3332321,16411564 x1,506:3842189,16411564 x1,506:4443149,16411564 k1,506:4512616,16411564:69467 x1,506:4785895,16411564 k1,506:4855362,16411564:69467 x1,506:5638181,16411564 k1,506:5707648,16411564:69467 x1,506:5980927,16411564 k1,506:6050394,16411564:69467 x1,506:6305326,16411564 k1,506:6374793,16411564:69467 x1,506:7321777,16411564 k1,506:7391244,16411564:69467 k1,506:27902721,16411564:20511477 k1,506:27972188,16411564:69467 x1,506:28154376,16411564 x1,506:29053841,16411564 k1,506:29053841,16411564:0 k1,506:29053841,16411564:0 ) ] $1,506:20511477,16782118 k1,506:29834876,16782118:9323399 g1,506:29834876,16782118 ) ] ) g1,506:28655228,16782118 ) g1,506:28655228,16782118 g1,506:28655228,16782118 ) h1,506:1310720,16782118:0,0,0 ] g1,506:28655228,16782118 ) ) ] ] ] !4507 }25 !10 {26 [1,540:4736286,16782118:23918942,12045832,0 (1,540:4736286,4736286:0,0,0 [1,540:0,4736286:0,0,0 (1,540:0,0:0,0,0 (1,540:0,4736286:0,0,0 k1,540:0,4736286:4736286 ) g1,540:0,0 ) ] ) [1,540:4736286,4736286:0,0,0 (1,540:4736286,-65781:0,0,0 k1,540:4736286,-65781:3491347 ) ] [1,540:4736286,16782118:23918942,12045832,0 [1,540:1310720,16782118:27344508,16782118,0 [1,540:1310720,0:27344508,0,0 (1,540:1310720,0:27344508,0,0 (1,540:1310720,0:27344508,0,0 [1,540:1310720,0:27344508,0,0 (1,540:1310720,0:-1310720,0,0 g1,540:0,0 (1,540:0,0:0,0,0 (1,540:0,16782118:29834876,16782118,0 (1,540:0,16782118:29834876,16782118,0 r1,540:29834876,16782118:29834876,16782118,0 ) ) ) (1,540:0,0:0,0,0 (1,540:0,0:0,0,0 (1,540:0,0:0,0,0 ) ) ) ) (1,540:1310720,0:-2490368,0,0 (1,540:1310720,0:0,0,0 (1,540:1310720,16782118:-1310720,16782118,0 (1,540:1310720,16782118:-1310720,16782118,0 g1,540:0,16782118 (1,540:0,16782118:0,0,0 [1,540:0,16782118:27344508,16782118,0 (1,540:0,16782118:27344508,16782118,0 h1,540:0,16782118:0,0,0 r1,540:0,16782118:0,16782118,0 k1,540:27344508,16782118:27344508 g1,540:27344508,16782118 ) ] ) [1,540:0,16782118:0,16782118,0 ] ) ) ) (1,540:1310720,0:0,0,0 (1,540:1310720,16782118:28524156,16782118,0 (1,540:1310720,16782118:28524156,16782118,0 g1,540:28655228,16782118 g1,540:29834876,16782118 (1,540:29834876,16782118:0,0,0 [1,540:29834876,16782118:27344508,16782118,0 (1,540:29834876,16782118:27344508,16782118,0 h1,540:29834876,16782118:0,0,0 r1,540:29834876,16782118:0,16782118,0 k1,540:57179384,16782118:27344508 g1,540:57179384,16782118 ) ] ) [1,540:29834876,16782118:0,16782118,0 (1,540:29834876,16192294:0,0,0 k1,540:29648397,16192294:-186479 g1,540:29834876,16192294 ) (1,540:29834876,16651046:0,0,0 k1,540:29648397,16651046:-186479 g1,540:29834876,16651046 ) ] ) ) ) (1,540:1310720,0:-2490368,0,0 g1,540:0,0 (1,540:0,0:0,0,0 [1,540:0,0:0,0,0 ] ) g1,540:-1179648,0 ) g1,540:-1179648,0 ) (1,540:1310720,0:27344508,0,0 h1,540:1310720,0:0,0,0 k1,540:28655228,0:27344508 g1,540:28655228,0 ) ] ) ) ] [1,540:1310720,16519974:27344508,16519974,0 [1,540:1310720,16519974:27344508,16519974,0 v1,540:1310720,0:0,0,0 v1,540:1310720,0:0,0,0 (1,540:1310720,1532292:27344508,655359,357028 h1,540:1310720,1532292:0,0,0 g1,540:2056580,1532292 $1,540:2056580,1532292 [1,540:2056580,1532292:12743970,579600,357028 (1,540:2056580,1532292:12743970,579600,168288 x1,540:3630201,1532292 x1,540:5720512,1532292 g1,540:5939132,1532292 x1,540:6595003,1532292 g1,540:6813623,1532292 x1,540:8605097,1532292 g1,540:8823717,1532292 x1,540:10135470,1532292 g1,540:10354090,1532292 x1,540:14025907,1532292 x1,540:14407334,1532292 x1,540:14800550,1532292 ) ] $1,540:14800550,1532292 x1,540:15440609,1532292 k1,540:22047919,1532292:6607310 k1,540:28655228,1532292:6607309 ) v1,540:1310720,2053160:0,0,0 (1,540:2621442,3278058:26033786,461242,153943 (1,540:2621442,3278058:0,262144,0 g1,540:2621442,3278058 g1,540:1638400,3278058 g1,540:1310720,3278058 (1,540:1310720,3278058:983042,262144,0 k1,540:2293762,3278058:983042 (1,540:2293762,3278058:0,262144,0 k1,540:2091694,3278058:-202068 x1,540:2293762,3278058 ) ) g1,540:2621442,3278058 ) x1,540:4981305,3278058 g1,540:5145270,3278058 x1,540:5473208,3278058 g1,540:5637173,3278058 x1,540:7472689,3278058 g1,540:7636654,3278058 x1,540:8882354,3278058 g1,540:9046319,3278058 x1,540:9538222,3278058 g1,540:9702187,3278058 x1,540:11012767,3278058 g1,540:11176732,3278058 x1,540:13274717,3278058 x1,540:13560787,3278058 g1,540:13724752,3278058 $1,540:13724752,3278058 x1,540:14254413,3278058 g1,540:14435947,3278058 x1,540:14928443,3278058 g1,540:15092283,3278058 x1,540:15542902,3278058 x1,540:15810681,3278058 $1,540:15810681,3278058 g1,540:15974646,3278058 x1,540:17023339,3278058 g1,540:17187304,3278058 x1,540:18399971,3278058 g1,540:18563936,3278058 x1,540:19350751,3278058 x1,540:19955910,3278058 g1,540:20119875,3278058 $1,540:20119875,3278058 (1,540:20119875,3278058:844620,461242,153943 x1,540:20646581,3278058 x1,540:20964495,3278058 ) $1,540:20964495,3278058 k1,540:24809862,3278058:3845367 k1,540:28655228,3278058:3845366 ) (1,540:2621442,5182431:26033786,1017052,658463 k1,540:7009204,5182431:4387762 (1,540:7009204,5182431:3114234,1017052,658463 k1,540:8825266,5182431:1816062 $1,540:8825266,5182431 (1,540:8825266,5182431:1298172,262144,642559 [1,540:8825266,5182431:1298172,262144,642559 (1,540:8825266,5182431:1298172,262144,0 k1,540:8948249,5182431:122983 x1,540:10000456,5182431 k1,540:10123438,5182431:122982 ) (1,540:8825266,5633481:1298172,352550,132527 x1,540:9041902,5633481 x1,540:9215220,5633481 (1,540:9215220,5633481:908218,352550,132527 (1,540:9215220,5639674:250474,358743,116981 x1,540:9465694,5639674 ) x1,540:9717373,5633481 (1,540:9717373,5702293:155591,224549,63715 x1,540:9810103,5702293 ) (1,540:9872964,5639674:250474,358743,116981 x1,540:10123438,5639674 ) ) ) ] ) $1,540:10123438,5182431 ) k1,540:10123438,5182431:0 (1,540:10123438,5182431:655360,1017052,658463 g1,540:10778798,5182431 g1,540:10778798,5182431 $1,540:10778798,5182431 h1,540:10778798,5182431:0,0,0 $1,540:10778798,5182431 g1,540:10778798,5182431 ) k1,540:10778798,5182431:0 (1,540:10778798,5182431:13488669,1017052,658463 g1,540:11434158,5182431 $1,540:11434158,5182431 (1,540:11434158,5182431:12833309,1017052,658463 g1,540:11548846,5182431 (1,540:11548846,5182431:1546382,914472,455344 (1,540:11548846,5182431:1546382,914472,455344 h1,540:11548846,5182431:78643,0,0 [1,540:11627489,5182431:1389096,914472,455344 (1,540:11627489,4783121:1389096,515162,0 x1,540:12014786,4783121 (1,540:12085010,4569015:931575,301056,39452 x1,540:12947117,4569015 ) ) (1,540:11627489,5587050:1389096,385934,50725 k1,540:11634668,5587050:7179 x1,540:11937770,5587050 g1,540:12052458,5587050 x1,540:12544954,5587050 g1,540:12659642,5587050 x1,540:12962221,5587050 k1,540:13016585,5587050:7179 ) ] h1,540:13016585,5182431:78643,0,0 ) ) g1,540:13209916,5182431 x1,540:13702412,5182431 g1,540:13817100,5182431 (1,540:13817100,5182431:1419494,785244,658463 (1,540:13817100,5182431:1419494,785244,658463 h1,540:13817100,5182431:78643,0,0 [1,540:13895743,5182431:1262208,785244,658463 (1,540:13895743,4783121:1262208,385934,0 k1,540:14375296,4783121:479553 x1,540:14678398,4783121 k1,540:15157951,4783121:479553 ) (1,540:13895743,5677808:1262208,560261,163086 [1,540:13895743,5677808:391642,403139,163086 (1,540:13895743,5677808:391642,285179,163086 x1,540:14287385,5677808 ) ] (1,540:14287385,5451747:870566,334200,126844 x1,540:15125183,5451747 ) ) ] h1,540:15157951,5182431:78643,0,0 ) ) (1,540:15236594,5182431:2249182,947616,567705 (1,540:15236594,5182431:2249182,947616,567705 h1,540:15236594,5182431:78643,0,0 [1,540:15315237,5182431:2091896,947616,567705 (1,540:15315237,4783121:2091896,548306,0 k1,540:15374428,4783121:59191 x1,540:15803140,4783121 (1,540:15850608,4569015:1497334,334200,126844 x1,540:17315174,4569015 ) k1,540:17407133,4783121:59191 ) (1,540:15315237,5587050:2091896,429686,163086 x1,540:15618339,5587050 g1,540:15733027,5587050 x1,540:16225523,5587050 g1,540:16340211,5587050 x1,540:17407133,5587050 ) ] h1,540:17407133,5182431:78643,0,0 ) ) g1,540:18075600,5182431 x1,540:18243990,5182431 g1,540:18833814,5182431 g1,540:18932118,5182431 x1,540:19360830,5182431 g1,540:19572138,5182431 x1,540:20064634,5182431 g1,540:20228474,5182431 (1,540:20228474,5182431:3044409,854949,560037 (1,540:20228474,4350484:325576,23002,1391984 x1,540:20554050,4350484 ) (1,540:20554050,4445151:545586,0,1179648 x1,540:20863707,4445151 ) g1,540:21197940,5182431 x1,540:21511053,5182431 [1,540:21511053,5388269:906929,965906,89201 (1,540:21521702,4818151:896280,395788,161381 h1,540:21521702,4818151:78643,0,0 [1,540:21600345,4818151:706226,395788,161381 (1,540:21600345,4637403:706226,215040,28180 x1,540:21850689,4637403 x1,540:22306571,4637403 ) (1,540:21600345,4976420:706226,158433,3112 k1,540:21824191,4976420:223846 x1,540:22074535,4976420 k1,540:22306571,4976420:223845 ) ] h1,540:22306571,4818151:78643,0,0 ) (1,540:21511053,5388269:204721,314369,89201 x1,540:21640875,5388269 ) ] x1,540:22892657,5182431 (1,540:22947307,4350484:325576,23002,1391984 x1,540:23272883,4350484 ) ) (1,540:23272883,4504560:896280,339181,186449 h1,540:23272883,4504560:78643,0,0 [1,540:23351526,4504560:706226,339181,186449 (1,540:23351526,4323812:706226,158433,3112 k1,540:23575372,4323812:223846 x1,540:23825716,4323812 k1,540:24057752,4323812:223845 ) (1,540:23351526,4662829:706226,215040,28180 x1,540:23601870,4662829 x1,540:24057752,4662829 ) ] h1,540:24057752,4504560:78643,0,0 ) g1,540:24267467,5182431 h1,540:24267467,5182431:0,0,0 ) $1,540:24267467,5182431 g1,540:24267467,5182431 ) k1,540:28655228,5182431:4387761 (1,540:28655228,5182431:0,1017052,658463 (1,540:28655228,5182431:0,0,0 g1,540:28655228,5182431 ) ) k1,540:28655228,5182431:0 ) (1,540:2621442,6728070:26033786,625032,785185 k1,540:7009204,6728070:4387762 (1,540:7009204,6728070:3114234,625032,785185 g1,540:7009204,6728070 $1,540:7009204,6728070 (1,540:7009204,6728070:3114234,426142,122976 (1,540:7009204,6728070:2524410,426142,122976 (1,540:7009204,6728070:2524410,426142,122976 x1,540:8877746,6728070 g1,540:9041711,6728070 x1,540:9533614,6728070 ) ) g1,540:10123438,6728070 ) $1,540:10123438,6728070 ) k1,540:10123438,6728070:0 (1,540:10123438,6728070:655360,625032,785185 g1,540:10778798,6728070 g1,540:10778798,6728070 $1,540:10778798,6728070 h1,540:10778798,6728070:0,0,0 $1,540:10778798,6728070 g1,540:10778798,6728070 ) k1,540:10778798,6728070:0 (1,540:10778798,6728070:13488669,625032,785185 g1,540:11434158,6728070 $1,540:11434158,6728070 (1,540:11434158,6728070:6350769,625032,785185 x1,540:11821455,6728070 g1,540:12055519,6728070 x1,540:12548015,6728070 g1,540:12711855,6728070 [1,540:12711855,6728070:648216,519044,785185 (1,540:12711855,6728070:648216,519044,224133 (1,540:12711855,6850754:648216,641728,101449 x1,540:13360071,6850754 ) ) (1,540:12711855,7365072:648216,314369,89201 k1,540:12949987,7365072:238132 x1,540:13079809,7365072 k1,540:13360071,7365072:238131 ) ] g1,540:13458375,6728070 x1,540:13829958,6728070 g1,540:13928262,6728070 (1,540:13928262,6728070:1706460,501054,206143 (1,540:13928262,6250018:284879,23002,684195 x1,540:14213141,6250018 ) x1,540:14627288,6728070 (1,540:14627288,6839540:204721,314369,89201 x1,540:14757110,6839540 ) x1,540:15145122,6728070 (1,540:15145122,6839540:204721,314369,89201 x1,540:15274944,6839540 ) (1,540:15349843,6250018:284879,23002,684195 x1,540:15634722,6250018 ) ) (1,540:15634722,6404094:922854,301056,39452 x1,540:16474346,6404094 ) g1,540:16672264,6728070 x1,540:17164760,6728070 g1,540:17279448,6728070 x1,540:17784927,6728070 ) $1,540:17784927,6728070 k1,540:24267467,6728070:6482540 ) k1,540:28655228,6728070:4387761 (1,540:28655228,6728070:0,625032,785185 (1,540:28655228,6728070:0,0,0 g1,540:28655228,6728070 ) ) k1,540:28655228,6728070:0 ) (1,540:2621442,8370101:26033786,430862,126216 (1,540:2621442,8370101:0,262144,0 g1,540:2621442,8370101 g1,540:1638400,8370101 g1,540:1310720,8370101 (1,540:1310720,8370101:983042,262144,0 k1,540:2293762,8370101:983042 (1,540:2293762,8370101:0,262144,0 k1,540:2091694,8370101:-202068 x1,540:2293762,8370101 ) ) g1,540:2621442,8370101 ) x1,540:4129603,8370101 g1,540:4293568,8370101 x1,540:5998145,8370101 g1,540:6162110,8370101 x1,540:6654013,8370101 g1,540:6817978,8370101 x1,540:7637819,8370101 g1,540:7801784,8370101 x1,540:8293105,8370101 x1,540:8799171,8370101 k1,540:18727199,8370101:9928028 k1,540:28655227,8370101:9928028 ) (1,540:8735432,10107371:13805807,1250606,785185 x1,540:9048545,10107371 (1,540:9048545,10218841:204721,314369,89201 x1,540:9178367,10218841 ) g1,540:9417106,10107371 x1,540:9909602,10107371 g1,540:10073442,10107371 (1,540:10073442,10107371:366870,701120,406208 $1,540:10073442,10107371 (1,540:10073442,10107371:366870,701120,406208 (1,540:10073442,9452371:366870,23002,1038090 x1,540:10440312,9452371 ) v1,540:10440312,10107371:0,701120,406208 h1,540:10440312,10107371:0,0,0 ) $1,540:10440312,10107371 ) (1,540:10440312,10107371:826205,692843,404619 (1,540:10440312,10107371:826205,692843,404619 h1,540:10440312,10107371:78643,0,0 [1,540:10518955,10107371:668919,692843,404619 (1,540:10518955,9676672:668919,262144,200671 k1,540:10543981,9676672:25026 x1,540:10958128,9676672 (1,540:10958128,9788142:204721,314369,89201 x1,540:11087950,9788142 ) k1,540:11187874,9676672:25025 ) (1,540:10518955,10511990:668919,409600,0 x1,540:11092391,10511990 ) ] h1,540:11187874,10107371:78643,0,0 ) ) (1,540:11266517,10107371:366870,701120,406208 $1,540:11266517,10107371 (1,540:11266517,10107371:366870,701120,406208 (1,540:11266517,9452371:366870,23002,1038090 x1,540:11633387,9452371 ) v1,540:11633387,10107371:0,701120,406208 h1,540:11633387,10107371:0,0,0 ) $1,540:11633387,10107371 ) (1,540:11633387,9583329:1365512,483982,186449 h1,540:11633387,9583329:78643,0,0 [1,540:11712030,9583329:1175458,483982,186449 (1,540:11712030,9352151:1175458,252804,88965 (1,540:11712030,9352151:916923,252804,88965 (1,540:11712030,9355592:140574,256245,85524 x1,540:11852604,9355592 ) x1,540:12452335,9352151 (1,540:12488379,9355592:140574,256245,85524 x1,540:12628953,9355592 ) ) x1,540:12879297,9352151 ) (1,540:11712030,9741598:1175458,215040,28180 k1,540:11852604,9741598:140574 x1,540:12452335,9741598 x1,540:12738723,9741598 k1,540:12887488,9741598:140574 ) ] h1,540:12887488,9583329:78643,0,0 ) x1,540:13427611,10107371 g1,540:14064903,10107371 x1,540:14233293,10107371 g1,540:14823117,10107371 g1,540:14921421,10107371 x1,540:15494857,10107371 g1,540:15754180,10107371 x1,540:16246676,10107371 g1,540:16410516,10107371 (1,540:16410516,10107371:4036372,1031896,785185 (1,540:16410516,9098477:358607,23002,1745879 x1,540:16769123,9098477 ) [1,540:16769123,10107371:648216,519044,785185 (1,540:16769123,10107371:648216,519044,224133 (1,540:16769123,10230055:648216,641728,101449 x1,540:17417339,10230055 ) ) (1,540:16769123,10744373:648216,314369,89201 k1,540:17007255,10744373:238132 x1,540:17137077,10744373 k1,540:17417339,10744373:238131 ) ] g1,540:17515643,10107371 x1,540:17929790,10107371 [1,540:17929790,10313209:2158491,1079168,89201 (1,540:17993930,9718023:2094351,483982,186449 h1,540:17993930,9718023:78643,0,0 [1,540:18072573,9718023:1904297,483982,186449 (1,540:18072573,9486845:1904297,252804,88965 (1,540:18072573,9486845:916923,252804,88965 (1,540:18072573,9490286:140574,256245,85524 x1,540:18213147,9490286 ) x1,540:18812878,9486845 (1,540:18848922,9490286:140574,256245,85524 x1,540:18989496,9490286 ) ) (1,540:18989496,9486845:987374,252804,88965 (1,540:18989496,9490286:140574,256245,85524 x1,540:19130070,9490286 ) x1,540:19380414,9486845 x1,540:19836296,9486845 (1,540:19836296,9490286:140574,256245,85524 x1,540:19976870,9490286 ) ) ) (1,540:18072573,9876292:1904297,215040,28180 k1,540:18577567,9876292:504994 x1,540:19177298,9876292 x1,540:19463686,9876292 k1,540:19976870,9876292:504993 ) ] h1,540:19976870,9718023:78643,0,0 ) (1,540:17929790,10313209:204721,314369,89201 x1,540:18059612,10313209 ) ] (1,540:20088281,9098477:358607,23002,1745879 x1,540:20446888,9098477 ) ) (1,540:20446888,9252553:2094351,395788,254606 h1,540:20446888,9252553:78643,0,0 [1,540:20525531,9252553:1904297,395788,254606 (1,540:20525531,9071805:1904297,215040,28180 k1,540:21030525,9071805:504994 x1,540:21630256,9071805 x1,540:21916644,9071805 k1,540:22429828,9071805:504993 ) (1,540:20525531,9418194:1904297,252804,88965 (1,540:20525531,9418194:916923,252804,88965 (1,540:20525531,9421635:140574,256245,85524 x1,540:20666105,9421635 ) x1,540:21265836,9418194 (1,540:21301880,9421635:140574,256245,85524 x1,540:21442454,9421635 ) ) (1,540:21442454,9418194:987374,252804,88965 (1,540:21442454,9421635:140574,256245,85524 x1,540:21583028,9421635 ) x1,540:21833372,9418194 x1,540:22289254,9418194 (1,540:22289254,9421635:140574,256245,85524 x1,540:22429828,9421635 ) ) ) ] h1,540:22429828,9252553:78643,0,0 ) ) (1,540:2621442,11744682:26033786,426142,126216 (1,540:2621442,11744682:0,262144,0 g1,540:2621442,11744682 g1,540:1638400,11744682 g1,540:1310720,11744682 (1,540:1310720,11744682:983042,262144,0 k1,540:2293762,11744682:983042 (1,540:2293762,11744682:0,262144,0 k1,540:2091694,11744682:-202068 x1,540:2293762,11744682 ) ) g1,540:2621442,11744682 ) x1,540:4129603,11744682 g1,540:4293568,11744682 x1,540:5998145,11744682 g1,540:6162110,11744682 x1,540:8423469,11744682 g1,540:8587434,11744682 x1,540:9571248,11744682 g1,540:9735213,11744682 x1,540:10750881,11744682 x1,540:11710510,11744682 x1,540:12455457,11744682 k1,540:20555343,11744682:8099886 k1,540:28655228,11744682:8099885 ) (1,540:10239250,13458634:10798171,854358,455344 x1,540:10502311,13458634 g1,540:10639608,13458634 x1,540:11132104,13458634 g1,540:11295944,13458634 (1,540:11295944,13458634:2590548,854358,455344 (1,540:11295944,13458634:2590548,854358,455344 h1,540:11295944,13458634:78643,0,0 [1,540:11374587,13458634:2433262,854358,455344 (1,540:11374587,13059324:2433262,455048,160137 (1,540:11374587,13059324:1869594,455048,160137 (1,540:11374587,13065518:253034,461242,153943 x1,540:11627621,13065518 ) x1,540:11930723,13059324 g1,540:12045411,13059324 x1,540:12537907,13059324 g1,540:12652595,13059324 x1,540:12926267,13059324 (1,540:12991147,13065518:253034,461242,153943 x1,540:13244181,13065518 ) ) g1,540:13342485,13059324 x1,540:13793104,13059324 ) (1,540:11374587,13863253:2433262,385934,50725 k1,540:11676773,13863253:302186 x1,540:11979875,13863253 g1,540:12094563,13863253 x1,540:12587059,13863253 g1,540:12701747,13863253 x1,540:12975419,13863253 x1,540:13490918,13863253 k1,540:13807849,13863253:302186 ) ] h1,540:13807849,13458634:78643,0,0 ) ) g1,540:14476316,13458634 x1,540:14644706,13458634 g1,540:15234530,13458634 g1,540:15332834,13458634 (1,540:15332834,13458634:5704587,701120,455344 (1,540:15332834,13458634:390457,426442,119144 x1,540:15723291,13458634 ) x1,540:16081898,13458634 g1,540:16245738,13458634 x1,540:16738234,13458634 g1,540:16902074,13458634 (1,540:16902074,13458634:366870,701120,406208 $1,540:16902074,13458634 (1,540:16902074,13458634:366870,701120,406208 (1,540:16902074,12803634:366870,23002,1038090 x1,540:17268944,12803634 ) v1,540:17268944,13458634:0,701120,406208 h1,540:17268944,13458634:0,0,0 ) $1,540:17268944,13458634 ) x1,540:17572046,13458634 g1,540:17686734,13458634 x1,540:18179230,13458634 g1,540:18293918,13458634 (1,540:18293918,13458634:390457,426442,119144 x1,540:18684375,13458634 ) x1,540:18958047,13458634 (1,540:19022927,13458634:366870,701120,406208 $1,540:19022927,13458634 (1,540:19022927,13458634:366870,701120,406208 (1,540:19022927,12803634:366870,23002,1038090 x1,540:19389797,12803634 ) v1,540:19389797,13458634:0,701120,406208 h1,540:19389797,13458634:0,0,0 ) $1,540:19389797,13458634 ) (1,540:19389797,13458634:1647624,684489,455344 (1,540:19389797,13458634:1647624,684489,455344 h1,540:19389797,13458634:78643,0,0 [1,540:19468440,13458634:1490338,684489,455344 (1,540:19468440,13059324:1490338,285179,5603 k1,540:19980927,13059324:512487 x1,540:20431546,13059324 k1,540:20958778,13059324:512487 ) (1,540:19468440,13863253:1490338,385934,50725 x1,540:19919059,13863253 g1,540:20048492,13863253 x1,540:20540988,13863253 g1,540:20655676,13863253 x1,540:20958778,13863253 ) ] h1,540:20958778,13458634:78643,0,0 ) ) ) ) (1,540:2621442,15147592:26033786,434700,131234 (1,540:2621442,15147592:0,262144,0 g1,540:2621442,15147592 g1,540:1638400,15147592 g1,540:1310720,15147592 (1,540:1310720,15147592:983042,262144,0 k1,540:2293762,15147592:983042 (1,540:2293762,15147592:0,262144,0 k1,540:2091694,15147592:-202068 x1,540:2293762,15147592 ) ) g1,540:2621442,15147592 ) x1,540:4390899,15147592 g1,540:4554864,15147592 x1,540:6423398,15147592 g1,540:6626286,15147592 x1,540:8298420,15147592 g1,540:8462385,15147592 x1,540:9282226,15147592 g1,540:9446191,15147592 x1,540:9938094,15147592 g1,540:10102059,15147592 x1,540:10921900,15147592 g1,540:11085865,15147592 x1,540:12429470,15147592 g1,540:12593435,15147592 x1,540:13019286,15147592 g1,540:13183251,15147592 x1,540:13511189,15147592 g1,540:13675154,15147592 x1,540:14527439,15147592 x1,540:16061563,15147592 x1,540:16374755,15147592 g1,540:16538720,15147592 x1,540:17325535,15147592 g1,540:17489500,15147592 $1,540:17489500,15147592 (1,540:17489500,15147592:892849,426442,119144 x1,540:17879957,15147592 g1,540:18043797,15147592 x1,540:18317469,15147592 ) $1,540:18382349,15147592 x1,540:18546314,15147592 g1,540:18710279,15147592 x1,540:19038217,15147592 x1,540:20528104,15147592 g1,540:20692069,15147592 x1,540:22298143,15147592 g1,540:22462108,15147592 x1,540:22757020,15147592 x1,540:23401102,15147592 g1,540:23565067,15147592 x1,540:23990918,15147592 x1,540:24801917,15147592 g1,540:24965882,15147592 x1,540:25260786,15147592 k1,540:26958007,15147592:1697221 k1,540:28655228,15147592:1697221 ) ] ] (1,540:1310720,16782118:27344508,0,0 (1,540:1310720,16782118:27344508,0,0 [1,540:1310720,16782118:27344508,0,0 (1,540:1310720,16782118:27344508,0,0 (1,540:1310720,16782118:27344508,0,0 g1,540:0,16782118 (1,540:0,16782118:29834876,0,0 [1,540:0,16782118:29834876,0,0 (1,540:0,16782118:29834876,0,0 h1,540:0,16782118:0,0,0 $1,540:0,16782118 [1,540:0,16782118:20511477,-133806,-297646 (1,540:0,16411564:20511477,241500,72908 h1,540:0,16411564:0,0,0 k1,540:1305270,16411564:1305270 k1,540:1382640,16411564:77370 k1,540:1452107,16411564:69467 x1,540:2089439,16411564 x1,540:2453815,16411564 x1,540:2611103,16411564 k1,540:2680570,16411564:69467 x1,540:2789686,16411564 k1,540:2859153,16411564:69467 x1,540:3332321,16411564 x1,540:3842189,16411564 x1,540:4443149,16411564 k1,540:4512616,16411564:69467 x1,540:4785895,16411564 k1,540:4855362,16411564:69467 x1,540:5638181,16411564 k1,540:5707648,16411564:69467 x1,540:5980927,16411564 k1,540:6050394,16411564:69467 x1,540:6305326,16411564 k1,540:6374793,16411564:69467 x1,540:7321777,16411564 k1,540:7391244,16411564:69467 k1,540:27902721,16411564:20511477 k1,540:27972188,16411564:69467 x1,540:28154376,16411564 x1,540:29053841,16411564 k1,540:29053841,16411564:0 k1,540:29053841,16411564:0 ) ] $1,540:20511477,16782118 k1,540:29834876,16782118:9323399 g1,540:29834876,16782118 ) ] ) g1,540:28655228,16782118 ) g1,540:28655228,16782118 g1,540:28655228,16782118 ) h1,540:1310720,16782118:0,0,0 ] g1,540:28655228,16782118 ) ) ] ] ] !22197 }26 !11 {27 [1,578:4736286,16782118:23918942,12045832,0 (1,578:4736286,4736286:0,0,0 [1,578:0,4736286:0,0,0 (1,578:0,0:0,0,0 (1,578:0,4736286:0,0,0 k1,578:0,4736286:4736286 ) g1,578:0,0 ) ] ) [1,578:4736286,4736286:0,0,0 (1,578:4736286,-65781:0,0,0 k1,578:4736286,-65781:3491347 ) ] [1,578:4736286,16782118:23918942,12045832,0 [1,578:1310720,16782118:27344508,16782118,0 [1,578:1310720,0:27344508,0,0 (1,578:1310720,0:27344508,0,0 (1,578:1310720,0:27344508,0,0 [1,578:1310720,0:27344508,0,0 (1,578:1310720,0:-1310720,0,0 g1,578:0,0 (1,578:0,0:0,0,0 (1,578:0,16782118:29834876,16782118,0 (1,578:0,16782118:29834876,16782118,0 r1,578:29834876,16782118:29834876,16782118,0 ) ) ) (1,578:0,0:0,0,0 (1,578:0,0:0,0,0 (1,578:0,0:0,0,0 ) ) ) ) (1,578:1310720,0:-2490368,0,0 (1,578:1310720,0:0,0,0 (1,578:1310720,16782118:-1310720,16782118,0 (1,578:1310720,16782118:-1310720,16782118,0 g1,578:0,16782118 (1,578:0,16782118:0,0,0 [1,578:0,16782118:27344508,16782118,0 (1,578:0,16782118:27344508,16782118,0 h1,578:0,16782118:0,0,0 r1,578:0,16782118:0,16782118,0 k1,578:27344508,16782118:27344508 g1,578:27344508,16782118 ) ] ) [1,578:0,16782118:0,16782118,0 ] ) ) ) (1,578:1310720,0:0,0,0 (1,578:1310720,16782118:28524156,16782118,0 (1,578:1310720,16782118:28524156,16782118,0 g1,578:28655228,16782118 g1,578:29834876,16782118 (1,578:29834876,16782118:0,0,0 [1,578:29834876,16782118:27344508,16782118,0 (1,578:29834876,16782118:27344508,16782118,0 h1,578:29834876,16782118:0,0,0 r1,578:29834876,16782118:0,16782118,0 k1,578:57179384,16782118:27344508 g1,578:57179384,16782118 ) ] ) [1,578:29834876,16782118:0,16782118,0 (1,578:29834876,16192294:0,0,0 k1,578:29648397,16192294:-186479 g1,578:29834876,16192294 ) (1,578:29834876,16651046:0,0,0 k1,578:29648397,16651046:-186479 g1,578:29834876,16651046 ) ] ) ) ) (1,578:1310720,0:-2490368,0,0 g1,578:0,0 (1,578:0,0:0,0,0 [1,578:0,0:0,0,0 ] ) g1,578:-1179648,0 ) g1,578:-1179648,0 ) (1,578:1310720,0:27344508,0,0 h1,578:1310720,0:0,0,0 k1,578:28655228,0:27344508 g1,578:28655228,0 ) ] ) ) ] [1,578:1310720,16519974:27344508,16519974,0 [1,578:1310720,16519974:27344508,16519974,0 v1,578:1310720,0:0,0,0 v1,578:1310720,0:0,0,0 (1,578:1310720,1532292:27344508,655359,357028 h1,578:1310720,1532292:0,0,0 g1,578:2056580,1532292 $1,578:2056580,1532292 [1,578:2056580,1532292:12743970,579600,357028 (1,578:2056580,1532292:12743970,579600,168288 x1,578:3630201,1532292 x1,578:5720512,1532292 g1,578:5939132,1532292 x1,578:6595003,1532292 g1,578:6813623,1532292 x1,578:8605097,1532292 g1,578:8823717,1532292 x1,578:10135470,1532292 g1,578:10354090,1532292 x1,578:14025907,1532292 x1,578:14407334,1532292 x1,578:14800550,1532292 ) ] $1,578:14800550,1532292 x1,578:15440609,1532292 k1,578:22047919,1532292:6607310 k1,578:28655228,1532292:6607309 ) v1,578:1310720,2053160:0,0,0 (1,578:2621442,3245290:26033786,483000,136640 (1,578:2621442,3245290:0,291271,0 g1,578:2621442,3245290 g1,578:1638400,3245290 g1,578:1310720,3245290 (1,578:1310720,3245290:983042,291271,0 k1,578:2293762,3245290:983042 (1,578:2293762,3245290:0,291271,0 k1,578:2075309,3245290:-218453 x1,578:2293762,3245290 ) ) g1,578:2621442,3245290 ) x1,578:4588162,3245290 x1,578:5048226,3245290 g1,578:5230409,3245290 x1,578:7124377,3245290 g1,578:7306560,3245290 x1,578:7524792,3245290 g1,578:7706975,3245290 x1,578:9964661,3245290 g1,578:10146844,3245290 x1,578:11822580,3245290 g1,578:12004763,3245290 x1,578:14153666,3245290 g1,578:14335849,3245290 x1,578:14736273,3245290 x1,578:15531872,3245290 g1,578:15714055,3245290 x1,578:18919381,3245290 g1,578:19101564,3245290 x1,578:20230084,3245290 x1,578:20567587,3245290 x1,578:21428722,3245290 x1,578:21601081,3245290 g1,578:21783264,3245290 x1,578:22220384,3245290 g1,578:22402567,3245290 x1,578:24078303,3245290 g1,578:24260486,3245290 x1,578:25389006,3245290 x1,578:25726509,3245290 x1,578:26259964,3245290 g1,578:26442147,3245290 x1,578:26879267,3245290 x1,578:27210874,3245290 x1,578:27674218,3245290 x1,578:28250273,3245290 k1,578:28452751,3245290:202478 k1,578:28655228,3245290:202477 ) (1,578:2621442,4525595:26033786,512491,171048 (1,578:2621442,4525595:0,291271,0 g1,578:2621442,4525595 g1,578:1638400,4525595 g1,578:1310720,4525595 (1,578:1310720,4525595:983042,291271,0 k1,578:2293762,4525595:983042 (1,578:2293762,4525595:0,291271,0 k1,578:2075309,4525595:-218453 x1,578:2293762,4525595 ) ) g1,578:2621442,4525595 ) x1,578:3531730,4525595 x1,578:4829986,4525595 x1,578:4985297,4525595 g1,578:5167480,4525595 x1,578:7498576,4525595 x1,578:7816432,4525595 g1,578:7998615,4525595 $1,578:7998615,4525595 x1,578:8594702,4525595 (1,578:8594702,4641466:208218,341315,0 x1,578:8720951,4641466 ) x1,578:8984965,4525595 g1,578:9094189,4525595 x1,578:9385460,4525595 (1,578:9385460,4641466:208218,341315,0 x1,578:9511709,4641466 ) x1,578:9874827,4525595 $1,578:9874827,4525595 g1,578:10057010,4525595 x1,578:10439738,4525595 g1,578:10621921,4525595 x1,578:11969329,4525595 g1,578:12151512,4525595 x1,578:13025751,4525595 x1,578:13698150,4525595 g1,578:13880333,4525595 x1,578:14098565,4525595 $1,578:14098565,4525595 x1,578:14402645,4525595 $1,578:14474734,4525595 x1,578:14692966,4525595 g1,578:14875149,4525595 x1,578:15257877,4525595 g1,578:15440060,4525595 x1,578:17843243,4525595 g1,578:18025426,4525595 x1,578:18207609,4525595 g1,578:18389792,4525595 x1,578:20283759,4525595 x1,578:21698014,4525595 g1,578:21880197,4525595 x1,578:24940045,4525595 x1,578:25257901,4525595 g1,578:25440084,4525595 x1,578:27224612,4525595 g1,578:27406795,4525595 $1,578:27406795,4525595 (1,578:27406795,4525595:1079369,512491,171048 x1,578:28188632,4525595 x1,578:28486164,4525595 ) $1,578:28486164,4525595 k1,578:28570696,4525595:84532 k1,578:28655228,4525595:84532 ) (1,578:3317367,6321962:24641936,891941,1594260 [1,578:3317367,6321962:9025595,854949,1594260 [1,578:3317367,6321962:9025595,854949,1003496 (1,578:3317367,6321962:9025595,854949,628959 g1,578:3317367,6321962 (1,578:3317367,6321962:9025595,854949,628959 $1,578:3317367,6321962 g1,578:3317367,6321962 (1,578:3317367,6321962:9025595,854949,628959 x1,578:3580428,6321962 (1,578:3580428,6433432:204721,314369,89201 x1,578:3710250,6433432 ) g1,578:3948989,6321962 x1,578:4441485,6321962 g1,578:4605325,6321962 (1,578:4605325,5584682:545586,0,1179648 x1,578:4914982,5584682 ) g1,578:5249215,6321962 (1,578:5249215,6321962:3680645,854949,628959 (1,578:5249215,5490015:457113,23002,1391984 x1,578:5706328,5490015 ) (1,578:5706328,6321962:2766419,840299,628959 (1,578:5706328,6321962:2766419,840299,628959 h1,578:5706328,6321962:78643,0,0 [1,578:5784971,6321962:2609133,840299,628959 (1,578:5784971,5891263:2609133,409600,200671 k1,578:6225254,5891263:440283 x1,578:6534911,5891263 (1,578:6534911,6002733:321003,314369,89201 x1,578:6781015,6002733 ) x1,578:7169027,5891263 (1,578:7169027,6002733:321003,314369,89201 x1,578:7415131,6002733 ) x1,578:7759455,5891263 (1,578:7759455,6002733:194367,314369,0 x1,578:7875737,6002733 ) k1,578:8394104,5891263:440282 ) (1,578:5784971,6746042:2609133,488964,204879 (1,578:5784971,6257078:424083,0,683016 x1,578:6089910,6257078 ) g1,578:6307358,6746042 x1,578:6617015,6746042 (1,578:6617015,6861720:442861,318577,89201 x1,578:6984977,6861720 ) x1,578:7372989,6746042 (1,578:7372989,6861720:442861,318577,89201 x1,578:7740951,6861720 ) x1,578:8085275,6746042 (1,578:8085275,6861720:308829,318577,0 x1,578:8323415,6861720 ) ) ] h1,578:8394104,6321962:78643,0,0 ) ) (1,578:8472747,5490015:457113,23002,1391984 x1,578:8929860,5490015 ) ) g1,578:9028164,6321962 (1,578:9028164,6321962:366870,701120,406208 $1,578:9028164,6321962 (1,578:9028164,6321962:366870,701120,406208 (1,578:9028164,5666962:366870,23002,1038090 x1,578:9395034,5666962 ) v1,578:9395034,6321962:0,701120,406208 h1,578:9395034,6321962:0,0,0 ) $1,578:9395034,6321962 ) (1,578:9395034,6321962:724785,562174,254341 x1,578:9692305,6321962 [1,578:9692305,6487102:427514,727314,89201 (1,578:9701152,6078365:418667,318577,0 x1,578:10026106,6078365 ) (1,578:9692305,6487102:321003,314369,89201 x1,578:9938409,6487102 ) ] ) g1,578:10234507,6321962 x1,578:10727003,6321962 g1,578:10841691,6321962 (1,578:10841691,6321962:618274,562174,254341 x1,578:11138962,6321962 [1,578:11138962,6487102:321003,727314,89201 (1,578:11147809,6078365:229110,318577,0 x1,578:11283206,6078365 ) (1,578:11138962,6487102:321003,314369,89201 x1,578:11385066,6487102 ) ] ) (1,578:11459965,6321962:366870,701120,406208 $1,578:11459965,6321962 (1,578:11459965,6321962:366870,701120,406208 (1,578:11459965,5666962:366870,23002,1038090 x1,578:11826835,5666962 ) v1,578:11826835,6321962:0,701120,406208 h1,578:11826835,6321962:0,0,0 ) $1,578:11826835,6321962 ) x1,578:12284671,6321962 ) g1,578:12342962,6321962 $1,578:12342962,6321962 ) g1,578:12342962,6321962 ) (1,578:3317367,7198646:9025595,70189,0 g1,578:3317367,7198646 (1,578:3317367,7198646:9025595,70189,0 $1,578:3317367,7198646 x1,578:3597527,7198646 g1,578:7550005,7198646 x1,578:8110325,7198646 g1,578:12062802,7198646 x1,578:12342962,7198646 $1,578:12342962,7198646 ) g1,578:12342962,7198646 ) ] (1,578:3317367,7759072:9025595,335114,98168 k1,578:4717386,7759072:1400019 x1,578:5890400,7759072 g1,578:6017928,7759072 x1,578:7343710,7759072 g1,578:7471238,7759072 x1,578:9230071,7759072 g1,578:9357599,7759072 x1,578:9740190,7759072 g1,578:9867718,7759072 x1,578:10249856,7759072 x1,578:10643462,7759072 g1,578:10770990,7759072 $1,578:10770990,7759072 x1,578:10900812,7759072 $1,578:10942943,7759072 k1,578:12342962,7759072:1400019 ) ] g1,578:12457650,6321962 g1,578:12572338,6321962 x1,578:12740728,6321962 g1,578:12855416,6321962 g1,578:12970104,6321962 g1,578:13068408,6321962 (1,578:13068408,6321962:3982907,860090,1570824 [1,578:13068408,6321962:3982907,860090,1570824 [1,578:13068408,6321962:3982907,860090,979827 (1,578:13068408,6321962:3982907,860090,605290 g1,578:13068408,6321962 (1,578:13068408,6321962:3982907,860090,605290 $1,578:13068408,6321962 g1,578:13068408,6321962 (1,578:13068408,6321962:3982907,860090,605290 x1,578:13365679,6321962 [1,578:13365679,6487102:427514,727314,89201 (1,578:13374526,6078365:418667,318577,0 x1,578:13699480,6078365 ) (1,578:13365679,6487102:321003,314369,89201 x1,578:13611783,6487102 ) ] g1,578:13957033,6321962 x1,578:14449529,6321962 g1,578:14613369,6321962 x1,578:15063988,6321962 (1,578:15078733,6321962:1185324,860090,605290 (1,578:15078733,6321962:1185324,860090,605290 h1,578:15078733,6321962:78643,0,0 [1,578:15157376,6321962:1028038,860090,605290 (1,578:15157376,5891263:1028038,429391,200671 x1,578:15864411,5891263 (1,578:15864411,6002733:321003,314369,89201 x1,578:16110515,6002733 ) ) (1,578:15157376,6726581:1028038,429391,200671 k1,578:15210967,6726581:53591 x1,578:15927103,6726581 (1,578:15927103,6838051:204721,314369,89201 x1,578:16056925,6838051 ) k1,578:16185414,6726581:53590 ) ] h1,578:16185414,6321962:78643,0,0 ) ) x1,578:16678204,6321962 (1,578:16678204,6433432:204721,314369,89201 x1,578:16808026,6433432 ) x1,578:17051315,6321962 ) g1,578:17051315,6321962 $1,578:17051315,6321962 ) g1,578:17051315,6321962 ) (1,578:13068408,7174977:3982907,70189,0 g1,578:13068408,7174977 (1,578:13068408,7174977:3982907,70189,0 $1,578:13068408,7174977 x1,578:13348568,7174977 g1,578:14779702,7174977 x1,578:15340022,7174977 g1,578:16771155,7174977 x1,578:17051315,7174977 $1,578:17051315,7174977 ) g1,578:17051315,7174977 ) ] (1,578:13068408,7731733:3982907,331444,102071 k1,578:13306532,7731733:238124 x1,578:15040139,7731733 x1,578:15283733,7731733 g1,578:15411261,7731733 x1,578:16813191,7731733 k1,578:17051315,7731733:238124 ) ] ) g1,578:17166003,6321962 g1,578:17280691,6321962 x1,578:17449081,6321962 g1,578:17563769,6321962 g1,578:17678457,6321962 g1,578:17776761,6321962 (1,578:17776761,6321962:10182542,891941,1570824 [1,578:17776761,6321962:10182542,891941,1570824 [1,578:17776761,6321962:10182542,891941,979827 (1,578:17776761,6321962:10182542,891941,605290 g1,578:17776761,6321962 (1,578:17776761,6321962:10182542,891941,605290 $1,578:17776761,6321962 g1,578:17776761,6321962 (1,578:17776761,6321962:10182542,891941,605290 x1,578:18074032,6321962 [1,578:18074032,6487102:321003,727314,89201 (1,578:18082879,6078365:229110,318577,0 x1,578:18218276,6078365 ) (1,578:18074032,6487102:321003,314369,89201 x1,578:18320136,6487102 ) ] g1,578:18558875,6321962 x1,578:19051371,6321962 g1,578:19215211,6321962 (1,578:19215211,6321962:8744092,891941,605290 (1,578:19215211,6321962:8744092,891941,605290 h1,578:19215211,6321962:78643,0,0 [1,578:19293854,6321962:8586806,891941,605290 (1,578:19293854,5891263:8586806,461242,200671 k1,578:20953772,5891263:1659918 x1,578:21509908,5891263 g1,578:21624596,5891263 x1,578:22117092,5891263 g1,578:22231780,5891263 x1,578:22534359,5891263 g1,578:22696232,5891263 x1,578:23490848,5891263 x1,578:23777111,5891263 (1,578:23777111,6002733:321003,314369,89201 x1,578:24023215,6002733 ) x1,578:24907284,5891263 g1,578:25021972,5891263 x1,578:25514468,5891263 g1,578:25629156,5891263 x1,578:25902828,5891263 x1,578:26220742,5891263 k1,578:27880660,5891263:1659918 ) (1,578:19293854,6726581:8586806,461242,200671 x1,578:19849990,6726581 g1,578:19964678,6726581 x1,578:20457174,6726581 g1,578:20571862,6726581 x1,578:21891818,6726581 g1,578:22006506,6726581 x1,578:22499002,6726581 g1,578:22613690,6726581 x1,578:23169826,6726581 g1,578:23284514,6726581 x1,578:23777010,6726581 g1,578:23891698,6726581 x1,578:24194277,6726581 g1,578:24356150,6726581 x1,578:25150766,6726581 x1,578:25437029,6726581 (1,578:25437029,6838051:321003,314369,89201 x1,578:25683133,6838051 ) x1,578:26567202,6726581 g1,578:26681890,6726581 x1,578:27174386,6726581 g1,578:27289074,6726581 x1,578:27562746,6726581 x1,578:27880660,6726581 ) ] h1,578:27880660,6321962:78643,0,0 ) ) ) g1,578:27959303,6321962 $1,578:27959303,6321962 ) g1,578:27959303,6321962 ) (1,578:17776761,7174977:10182542,70189,0 g1,578:17776761,7174977 (1,578:17776761,7174977:10182542,70189,0 $1,578:17776761,7174977 x1,578:18056921,7174977 g1,578:22587872,7174977 x1,578:23148192,7174977 g1,578:27679143,7174977 x1,578:27959303,7174977 $1,578:27959303,7174977 ) g1,578:27959303,7174977 ) ] (1,578:17776761,7731733:10182542,331444,102071 k1,578:21191087,7731733:3414326 x1,578:22771925,7731733 x1,578:23015519,7731733 g1,578:23143047,7731733 x1,578:24544977,7731733 k1,578:27959303,7731733:3414326 ) ] ) ) (1,578:2621442,9162091:26033786,512491,171048 (1,578:2621442,9162091:0,291271,0 g1,578:2621442,9162091 g1,578:1638400,9162091 g1,578:1310720,9162091 (1,578:1310720,9162091:983042,291271,0 k1,578:2293762,9162091:983042 (1,578:2293762,9162091:0,291271,0 k1,578:2075309,9162091:-218453 x1,578:2293762,9162091 ) ) g1,578:2621442,9162091 ) x1,578:4260472,9162091 g1,578:4442655,9162091 $1,578:4442655,9162091 x1,578:5583055,9162091 x1,578:5892531,9162091 (1,578:5892531,9277962:208218,341315,0 x1,578:6018780,9277962 ) g1,578:6282789,9162091 x1,578:6830007,9162091 g1,578:7012047,9162091 x1,578:7620877,9162091 $1,578:7620877,9162091 x1,578:7803060,9162091 g1,578:7985243,9162091 x1,578:8859483,9162091 g1,578:9041666,9162091 x1,578:10170833,9162091 x1,578:12482272,9162091 g1,578:12664455,9162091 $1,578:12664455,9162091 x1,578:13281803,9162091 g1,578:13516271,9162091 x1,578:14063489,9162091 g1,578:14245529,9162091 x1,578:14854359,9162091 $1,578:14854359,9162091 k1,578:21754794,9162091:6900435 k1,578:28655228,9162091:6900434 ) (1,578:9454176,10599658:12368318,873303,630782 x1,578:9746466,10599658 (1,578:9746466,10715529:219459,341315,96847 x1,578:9887415,10715529 ) g1,578:10147965,10599658 x1,578:10695183,10599658 g1,578:10877223,10599658 (1,578:10877223,10599658:2307640,512491,212718 x1,578:12220041,10599658 x1,578:12965404,10599658 (1,578:12965404,10715529:219459,341315,96847 x1,578:13106353,10715529 ) ) g1,578:13312291,10599658 x1,578:13859509,10599658 g1,578:13986937,10599658 (1,578:13986937,10599658:5105938,873303,630782 (1,578:13986937,10599658:2511899,873303,630782 (1,578:13986937,10599658:2511899,873303,630782 h1,578:13986937,10599658:78643,0,0 [1,578:14065580,10599658:2354613,873303,630782 (1,578:14065580,10155980:2354613,429625,56361 k1,578:14489925,10155980:424345 x1,578:14817606,10155980 g1,578:14945034,10155980 x1,578:15492252,10155980 g1,578:15619680,10155980 x1,578:15923760,10155980 k1,578:16420193,10155980:424344 ) (1,578:14065580,11049234:2354613,477429,181206 x1,578:15241950,11049234 g1,578:15369378,11049234 x1,578:15916596,11049234 g1,578:16044024,11049234 x1,578:16348104,11049234 ) ] h1,578:16420193,10599658:78643,0,0 ) ) g1,578:17154196,10599658 x1,578:17336241,10599658 g1,578:17991601,10599658 g1,578:18100825,10599658 x1,578:18534666,10599658 g1,578:18716706,10599658 x1,578:19020786,10599658 ) g1,578:19748235,10599658 x1,578:19930280,10599658 g1,578:20585640,10599658 g1,578:20694864,10599658 x1,578:21128705,10599658 g1,578:21310745,10599658 x1,578:21603035,10599658 (1,578:21603035,10715529:219459,341315,96847 x1,578:21743984,10715529 ) ) (1,578:2621442,12475321:26033786,478735,212718 (1,578:2621442,12475321:0,291271,0 g1,578:2621442,12475321 g1,578:1638400,12475321 g1,578:1310720,12475321 (1,578:1310720,12475321:983042,291271,0 k1,578:2293762,12475321:983042 (1,578:2293762,12475321:0,291271,0 k1,578:2075309,12475321:-218453 x1,578:2293762,12475321 ) ) g1,578:2621442,12475321 ) x1,578:2985808,12475321 g1,578:3167991,12475321 x1,578:3532367,12475321 x1,578:5552173,12475321 x1,578:5900165,12475321 g1,578:6082348,12475321 x1,578:8085108,12475321 g1,578:8267291,12475321 x1,578:8740459,12475321 g1,578:8922642,12475321 x1,578:10306745,12475321 g1,578:10488928,12475321 x1,578:10707160,12475321 $1,578:10707160,12475321 x1,578:11207848,12475321 g1,578:11406271,12475321 x1,578:11953489,12475321 g1,578:12135529,12475321 x1,578:12710928,12475321 $1,578:12710928,12475321 x1,578:12929160,12475321 x1,578:13111343,12475321 g1,578:13293526,12475321 x1,578:14568837,12475321 g1,578:14751020,12475321 x1,578:16062380,12475321 x1,578:18422314,12475321 g1,578:18604497,12475321 x1,578:19114361,12475321 x1,578:19577705,12475321 x1,578:20481440,12475321 g1,578:20663623,12475321 $1,578:20663623,12475321 x1,578:20955913,12475321 (1,578:20955913,12591192:219459,341315,96847 x1,578:21096862,12591192 ) $1,578:21175372,12475321 g1,578:21357555,12475321 $1,578:21357555,12475321 x1,578:22056824,12475321 $1,578:22056824,12475321 g1,578:22239007,12475321 x1,578:23950135,12475321 g1,578:24132318,12475321 x1,578:25260838,12475321 x1,578:26327093,12475321 x1,578:27482493,12475321 k1,578:28068861,12475321:586368 k1,578:28655228,12475321:586367 ) (1,578:2621442,13821162:26033786,473491,145816 (1,578:2621442,13821162:0,291271,0 g1,578:2621442,13821162 g1,578:1638400,13821162 g1,578:1310720,13821162 (1,578:1310720,13821162:983042,291271,0 k1,578:2293762,13821162:983042 (1,578:2293762,13821162:0,291271,0 k1,578:2075309,13821162:-218453 x1,578:2293762,13821162 ) ) g1,578:2621442,13821162 ) x1,578:3350194,13821162 x1,578:3813538,13821162 x1,578:4389593,13821162 g1,578:4571776,13821162 x1,578:5883136,13821162 x1,578:8243070,13821162 g1,578:8425253,13821162 x1,578:11448405,13821162 g1,578:11630588,13821162 x1,578:14690436,13821162 x1,578:15008292,13821162 g1,578:15190475,13821162 x1,578:17047755,13821162 k1,578:22851492,13821162:5803737 k1,578:28655228,13821162:5803736 ) (1,578:2621442,15167003:26033786,483000,145816 (1,578:2621442,15167003:0,291271,0 g1,578:2621442,15167003 g1,578:1638400,15167003 g1,578:1310720,15167003 (1,578:1310720,15167003:983042,291271,0 k1,578:2293762,15167003:983042 (1,578:2293762,15167003:0,291271,0 k1,578:2075309,15167003:-218453 x1,578:2293762,15167003 ) ) g1,578:2621442,15167003 ) x1,578:4587506,15167003 g1,578:4769689,15167003 x1,578:6845839,15167003 g1,578:7071270,15167003 x1,578:8929198,15167003 g1,578:9111381,15167003 x1,578:10022316,15167003 g1,578:10204499,15167003 x1,578:10751058,15167003 g1,578:10933241,15167003 x1,578:11844176,15167003 g1,578:12026359,15167003 x1,578:13519254,15167003 g1,578:13701437,15167003 x1,578:14174605,15167003 g1,578:14356788,15167003 x1,578:14721164,15167003 g1,578:14903347,15167003 x1,578:15850331,15167003 x1,578:17554914,15167003 x1,578:17902906,15167003 g1,578:18085089,15167003 x1,578:18959328,15167003 g1,578:19141511,15167003 $1,578:19141511,15167003 (1,578:19141511,15167003:992050,473825,132382 x1,578:19575352,15167003 g1,578:19757392,15167003 x1,578:20061472,15167003 ) $1,578:20133561,15167003 x1,578:20315744,15167003 g1,578:20497927,15167003 x1,578:20862303,15167003 x1,578:22517733,15167003 g1,578:22699916,15167003 x1,578:24484444,15167003 g1,578:24666627,15167003 x1,578:24994307,15167003 x1,578:25709955,15167003 g1,578:25892138,15167003 x1,578:26365306,15167003 x1,578:27266417,15167003 g1,578:27448600,15167003 x1,578:27776271,15167003 k1,578:28215750,15167003:439479 k1,578:28655228,15167003:439478 ) ] ] (1,578:1310720,16782118:27344508,0,0 (1,578:1310720,16782118:27344508,0,0 [1,578:1310720,16782118:27344508,0,0 (1,578:1310720,16782118:27344508,0,0 (1,578:1310720,16782118:27344508,0,0 g1,578:0,16782118 (1,578:0,16782118:29834876,0,0 [1,578:0,16782118:29834876,0,0 (1,578:0,16782118:29834876,0,0 h1,578:0,16782118:0,0,0 $1,578:0,16782118 [1,578:0,16782118:20511477,-133806,-297646 (1,578:0,16411564:20511477,241500,72908 h1,578:0,16411564:0,0,0 k1,578:1305270,16411564:1305270 k1,578:1382640,16411564:77370 k1,578:1452107,16411564:69467 x1,578:2089439,16411564 x1,578:2453815,16411564 x1,578:2611103,16411564 k1,578:2680570,16411564:69467 x1,578:2789686,16411564 k1,578:2859153,16411564:69467 x1,578:3332321,16411564 x1,578:3842189,16411564 x1,578:4443149,16411564 k1,578:4512616,16411564:69467 x1,578:4785895,16411564 k1,578:4855362,16411564:69467 x1,578:5638181,16411564 k1,578:5707648,16411564:69467 x1,578:5980927,16411564 k1,578:6050394,16411564:69467 x1,578:6305326,16411564 k1,578:6374793,16411564:69467 x1,578:7321777,16411564 k1,578:7391244,16411564:69467 k1,578:27902721,16411564:20511477 k1,578:27972188,16411564:69467 x1,578:28154376,16411564 x1,578:29053841,16411564 k1,578:29053841,16411564:0 k1,578:29053841,16411564:0 ) ] $1,578:20511477,16782118 k1,578:29834876,16782118:9323399 g1,578:29834876,16782118 ) ] ) g1,578:28655228,16782118 ) g1,578:28655228,16782118 g1,578:28655228,16782118 ) h1,578:1310720,16782118:0,0,0 ] g1,578:28655228,16782118 ) ) ] ] ] !22178 }27 !11 {28 [1,600:4736286,16782118:23918942,12045832,0 (1,600:4736286,4736286:0,0,0 [1,600:0,4736286:0,0,0 (1,600:0,0:0,0,0 (1,600:0,4736286:0,0,0 k1,600:0,4736286:4736286 ) g1,600:0,0 ) ] ) [1,600:4736286,4736286:0,0,0 (1,600:4736286,-65781:0,0,0 k1,600:4736286,-65781:3491347 ) ] [1,600:4736286,16782118:23918942,12045832,0 [1,600:1310720,16782118:27344508,16782118,0 [1,600:1310720,0:27344508,0,0 (1,600:1310720,0:27344508,0,0 (1,600:1310720,0:27344508,0,0 [1,600:1310720,0:27344508,0,0 (1,600:1310720,0:-1310720,0,0 g1,600:0,0 (1,600:0,0:0,0,0 (1,600:0,16782118:29834876,16782118,0 (1,600:0,16782118:29834876,16782118,0 r1,600:29834876,16782118:29834876,16782118,0 ) ) ) (1,600:0,0:0,0,0 (1,600:0,0:0,0,0 (1,600:0,0:0,0,0 ) ) ) ) (1,600:1310720,0:-2490368,0,0 (1,600:1310720,0:0,0,0 (1,600:1310720,16782118:-1310720,16782118,0 (1,600:1310720,16782118:-1310720,16782118,0 g1,600:0,16782118 (1,600:0,16782118:0,0,0 [1,600:0,16782118:27344508,16782118,0 (1,600:0,16782118:27344508,16782118,0 h1,600:0,16782118:0,0,0 r1,600:0,16782118:0,16782118,0 k1,600:27344508,16782118:27344508 g1,600:27344508,16782118 ) ] ) [1,600:0,16782118:0,16782118,0 ] ) ) ) (1,600:1310720,0:0,0,0 (1,600:1310720,16782118:28524156,16782118,0 (1,600:1310720,16782118:28524156,16782118,0 g1,600:28655228,16782118 g1,600:29834876,16782118 (1,600:29834876,16782118:0,0,0 [1,600:29834876,16782118:27344508,16782118,0 (1,600:29834876,16782118:27344508,16782118,0 h1,600:29834876,16782118:0,0,0 r1,600:29834876,16782118:0,16782118,0 k1,600:57179384,16782118:27344508 g1,600:57179384,16782118 ) ] ) [1,600:29834876,16782118:0,16782118,0 (1,600:29834876,16192294:0,0,0 k1,600:29648397,16192294:-186479 g1,600:29834876,16192294 ) (1,600:29834876,16651046:0,0,0 k1,600:29648397,16651046:-186479 g1,600:29834876,16651046 ) ] ) ) ) (1,600:1310720,0:-2490368,0,0 g1,600:0,0 (1,600:0,0:0,0,0 [1,600:0,0:0,0,0 ] ) g1,600:-1179648,0 ) g1,600:-1179648,0 ) (1,600:1310720,0:27344508,0,0 h1,600:1310720,0:0,0,0 k1,600:28655228,0:27344508 g1,600:28655228,0 ) ] ) ) ] [1,600:1310720,16519974:27344508,16519974,0 [1,600:1310720,16519974:27344508,16519974,0 v1,600:1310720,0:0,0,0 v1,600:1310720,0:0,0,0 (1,600:1310720,1532292:27344508,655359,357028 h1,600:1310720,1532292:0,0,0 g1,600:2056580,1532292 $1,600:2056580,1532292 [1,600:2056580,1532292:12350754,579600,357028 (1,600:2056580,1532292:12350754,579600,168288 x1,600:3630201,1532292 x1,600:5720512,1532292 g1,600:5939132,1532292 x1,600:6595003,1532292 g1,600:6813623,1532292 x1,600:8605097,1532292 g1,600:8823717,1532292 x1,600:10135470,1532292 g1,600:10354090,1532292 x1,600:14025907,1532292 x1,600:14407334,1532292 ) ] $1,600:14407334,1532292 x1,600:15047393,1532292 k1,600:21851311,1532292:6803918 k1,600:28655228,1532292:6803917 ) [1,600:1310720,2053160:0,0,0 [1,600:1310720,2053160:0,0,0 ] [1,600:1310720,2053160:0,0,0 ] ] v1,600:1310720,2053160:0,0,0 (1,600:1310720,15142903:27344508,12575612,0 k1,600:6966993,15142903:5656273 (1,600:6966993,15142903:0,0,0 g1,600:6966993,15142903 g1,600:6966993,15142903 g1,600:6966993,15142903 g1,600:6639313,15142903 (1,600:6639313,15142903:0,0,0 ) g1,600:6966993,15142903 g1,600:6966993,15142903 g1,600:6966993,15142903 g1,600:6966993,15142903 g1,600:6639313,15142903 (1,600:6639313,15142903:0,0,0 ) g1,600:6966993,15142903 ) r1,600:6966993,15142903:0,12575612,0 g1,600:6034654,15142903 g1,600:6034654,15142903 g1,600:6216837,15142903 (1,600:6216837,15142903:16782118,12575612,0 (1,600:6216837,15142903:16782118,12575612,0 (1,600:6216837,15142903:16782118,12575612,0 (1,600:6216837,15142903:16782118,12575612,0 (1,600:6216837,15142903:16782118,12575612,0 (1,600:6216837,15142903:16782283,12575642,0 (1,600:6216837,15142903:16782283,12575642,0 (1,600:6216837,15142903:0,12575642,0 (1,600:6216837,15142903:0,56046060,0 (1,600:6216837,15142903:74793861,56046060,0 ) k1,600:6216834,15142903:-74793864 ) ) g1,600:22999120,15142903 ) ) ) ) ) ) ) g1,600:22998955,15142903 k1,600:28655228,15142903:5656273 ) ] ] (1,600:1310720,16782118:27344508,0,0 (1,600:1310720,16782118:27344508,0,0 [1,600:1310720,16782118:27344508,0,0 (1,600:1310720,16782118:27344508,0,0 (1,600:1310720,16782118:27344508,0,0 g1,600:0,16782118 (1,600:0,16782118:29834876,0,0 [1,600:0,16782118:29834876,0,0 (1,600:0,16782118:29834876,0,0 h1,600:0,16782118:0,0,0 $1,600:0,16782118 [1,600:0,16782118:20511477,-133806,-297646 (1,600:0,16411564:20511477,241500,72908 h1,600:0,16411564:0,0,0 k1,600:1305270,16411564:1305270 k1,600:1382640,16411564:77370 k1,600:1452107,16411564:69467 x1,600:2089439,16411564 x1,600:2453815,16411564 x1,600:2611103,16411564 k1,600:2680570,16411564:69467 x1,600:2789686,16411564 k1,600:2859153,16411564:69467 x1,600:3332321,16411564 x1,600:3842189,16411564 x1,600:4443149,16411564 k1,600:4512616,16411564:69467 x1,600:4785895,16411564 k1,600:4855362,16411564:69467 x1,600:5638181,16411564 k1,600:5707648,16411564:69467 x1,600:5980927,16411564 k1,600:6050394,16411564:69467 x1,600:6305326,16411564 k1,600:6374793,16411564:69467 x1,600:7321777,16411564 k1,600:7391244,16411564:69467 k1,600:27902721,16411564:20511477 k1,600:27972188,16411564:69467 x1,600:28154376,16411564 x1,600:29053841,16411564 k1,600:29053841,16411564:0 k1,600:29053841,16411564:0 ) ] $1,600:20511477,16782118 k1,600:29834876,16782118:9323399 g1,600:29834876,16782118 ) ] ) g1,600:28655228,16782118 ) g1,600:28655228,16782118 g1,600:28655228,16782118 ) h1,600:1310720,16782118:0,0,0 ] g1,600:28655228,16782118 ) ) ] ] ] !5427 }28 !10 {29 [1,600:4736286,16782118:23918942,12045832,0 (1,600:4736286,4736286:0,0,0 [1,600:0,4736286:0,0,0 (1,600:0,0:0,0,0 (1,600:0,4736286:0,0,0 k1,600:0,4736286:4736286 ) g1,600:0,0 ) ] ) [1,600:4736286,4736286:0,0,0 (1,600:4736286,-65781:0,0,0 k1,600:4736286,-65781:3491347 ) ] [1,600:4736286,16782118:23918942,12045832,0 [1,600:1310720,16782118:27344508,16782118,0 [1,600:1310720,0:27344508,0,0 (1,600:1310720,0:27344508,0,0 (1,600:1310720,0:27344508,0,0 [1,600:1310720,0:27344508,0,0 (1,600:1310720,0:-1310720,0,0 g1,600:0,0 (1,600:0,0:0,0,0 (1,600:0,16782118:29834876,16782118,0 (1,600:0,16782118:29834876,16782118,0 r1,600:29834876,16782118:29834876,16782118,0 ) ) ) (1,600:0,0:0,0,0 (1,600:0,0:0,0,0 (1,600:0,0:0,0,0 ) ) ) ) (1,600:1310720,0:-2490368,0,0 (1,600:1310720,0:0,0,0 (1,600:1310720,16782118:-1310720,16782118,0 (1,600:1310720,16782118:-1310720,16782118,0 g1,600:0,16782118 (1,600:0,16782118:0,0,0 [1,600:0,16782118:27344508,16782118,0 (1,600:0,16782118:27344508,16782118,0 h1,600:0,16782118:0,0,0 r1,600:0,16782118:0,16782118,0 k1,600:27344508,16782118:27344508 g1,600:27344508,16782118 ) ] ) [1,600:0,16782118:0,16782118,0 ] ) ) ) (1,600:1310720,0:0,0,0 (1,600:1310720,16782118:28524156,16782118,0 (1,600:1310720,16782118:28524156,16782118,0 g1,600:28655228,16782118 g1,600:29834876,16782118 (1,600:29834876,16782118:0,0,0 [1,600:29834876,16782118:27344508,16782118,0 (1,600:29834876,16782118:27344508,16782118,0 h1,600:29834876,16782118:0,0,0 r1,600:29834876,16782118:0,16782118,0 k1,600:57179384,16782118:27344508 g1,600:57179384,16782118 ) ] ) [1,600:29834876,16782118:0,16782118,0 (1,600:29834876,16192294:0,0,0 k1,600:29648397,16192294:-186479 g1,600:29834876,16192294 ) (1,600:29834876,16651046:0,0,0 k1,600:29648397,16651046:-186479 g1,600:29834876,16651046 ) ] ) ) ) (1,600:1310720,0:-2490368,0,0 g1,600:0,0 (1,600:0,0:0,0,0 [1,600:0,0:0,0,0 ] ) g1,600:-1179648,0 ) g1,600:-1179648,0 ) (1,600:1310720,0:27344508,0,0 h1,600:1310720,0:0,0,0 k1,600:28655228,0:27344508 g1,600:28655228,0 ) ] ) ) ] [1,600:1310720,16519974:27344508,16519974,0 [1,600:1310720,16519974:27344508,16519974,0 v1,600:1310720,0:0,0,0 v1,600:1310720,0:0,0,0 (1,600:1310720,1532292:27344508,655359,357028 h1,600:1310720,1532292:0,0,0 g1,600:2056580,1532292 $1,600:2056580,1532292 [1,600:2056580,1532292:12350754,579600,357028 (1,600:2056580,1532292:12350754,579600,168288 x1,600:3630201,1532292 x1,600:5720512,1532292 g1,600:5939132,1532292 x1,600:6595003,1532292 g1,600:6813623,1532292 x1,600:8605097,1532292 g1,600:8823717,1532292 x1,600:10135470,1532292 g1,600:10354090,1532292 x1,600:14025907,1532292 x1,600:14407334,1532292 ) ] $1,600:14407334,1532292 x1,600:15047393,1532292 k1,600:21851311,1532292:6803918 k1,600:28655228,1532292:6803917 ) [1,600:1310720,2053160:0,0,0 [1,600:1310720,2053160:0,0,0 ] ] v1,600:1310720,2053160:0,0,0 (1,600:1310720,15120243:27344508,12552952,0 k1,600:6875902,15120243:5565182 (1,600:6875902,15120243:0,0,0 g1,600:6875902,15120243 g1,600:6875902,15120243 g1,600:6875902,15120243 g1,600:6548222,15120243 (1,600:6548222,15120243:0,0,0 ) g1,600:6875902,15120243 g1,600:6875902,15120243 g1,600:6875902,15120243 g1,600:6875902,15120243 g1,600:6548222,15120243 (1,600:6548222,15120243:0,0,0 ) g1,600:6875902,15120243 ) r1,600:6875902,15120243:0,12552952,0 g1,600:5943563,15120243 g1,600:5943563,15120243 g1,600:6125746,15120243 g1,600:6307929,15120243 (1,600:6307929,15120243:16782118,12552952,0 (1,600:6307929,15120243:16782118,12552952,0 (1,600:6307929,15120243:16782118,12552952,0 (1,600:6307929,15120243:16782118,12552952,0 (1,600:6307929,15120243:16782118,12552952,0 (1,600:6307929,15120243:16781356,12552982,0 (1,600:6307929,15120243:16781356,12552982,0 (1,600:6307929,15120243:0,12552982,0 (1,600:6307929,15120243:0,56243405,0 (1,600:6307929,15120243:75188552,56243405,0 ) k1,600:6307929,15120243:-75188552 ) ) g1,600:23089285,15120243 ) ) ) ) ) ) ) g1,600:23090047,15120243 k1,600:28655228,15120243:5565181 ) ] ] (1,600:1310720,16782118:27344508,0,0 (1,600:1310720,16782118:27344508,0,0 [1,600:1310720,16782118:27344508,0,0 (1,600:1310720,16782118:27344508,0,0 (1,600:1310720,16782118:27344508,0,0 g1,600:0,16782118 (1,600:0,16782118:29834876,0,0 [1,600:0,16782118:29834876,0,0 (1,600:0,16782118:29834876,0,0 h1,600:0,16782118:0,0,0 $1,600:0,16782118 [1,600:0,16782118:20511477,-133806,-297646 (1,600:0,16411564:20511477,241500,72908 h1,600:0,16411564:0,0,0 k1,600:1305270,16411564:1305270 k1,600:1382640,16411564:77370 k1,600:1452107,16411564:69467 x1,600:2089439,16411564 x1,600:2453815,16411564 x1,600:2611103,16411564 k1,600:2680570,16411564:69467 x1,600:2789686,16411564 k1,600:2859153,16411564:69467 x1,600:3332321,16411564 x1,600:3842189,16411564 x1,600:4443149,16411564 k1,600:4512616,16411564:69467 x1,600:4785895,16411564 k1,600:4855362,16411564:69467 x1,600:5638181,16411564 k1,600:5707648,16411564:69467 x1,600:5980927,16411564 k1,600:6050394,16411564:69467 x1,600:6305326,16411564 k1,600:6374793,16411564:69467 x1,600:7321777,16411564 k1,600:7391244,16411564:69467 k1,600:27902721,16411564:20511477 k1,600:27972188,16411564:69467 x1,600:28154376,16411564 x1,600:29053841,16411564 k1,600:29053841,16411564:0 k1,600:29053841,16411564:0 ) ] $1,600:20511477,16782118 k1,600:29834876,16782118:9323399 g1,600:29834876,16782118 ) ] ) g1,600:28655228,16782118 ) g1,600:28655228,16782118 g1,600:28655228,16782118 ) h1,600:1310720,16782118:0,0,0 ] g1,600:28655228,16782118 ) ) ] ] ] !5420 }29 !10 {30 [1,646:4736286,16782118:23918942,12045832,0 (1,646:4736286,4736286:0,0,0 [1,646:0,4736286:0,0,0 (1,646:0,0:0,0,0 (1,646:0,4736286:0,0,0 k1,646:0,4736286:4736286 ) g1,646:0,0 ) ] ) [1,646:4736286,4736286:0,0,0 (1,646:4736286,-65781:0,0,0 k1,646:4736286,-65781:3491347 ) ] [1,646:4736286,16782118:23918942,12045832,0 [1,646:1310720,16782118:27344508,16782118,0 [1,646:1310720,0:27344508,0,0 (1,646:1310720,0:27344508,0,0 (1,646:1310720,0:27344508,0,0 [1,646:1310720,0:27344508,0,0 (1,646:1310720,0:-1310720,0,0 g1,646:0,0 (1,646:0,0:0,0,0 (1,646:0,16782118:29834876,16782118,0 (1,646:0,16782118:29834876,16782118,0 r1,646:29834876,16782118:29834876,16782118,0 ) ) ) (1,646:0,0:0,0,0 (1,646:0,0:0,0,0 (1,646:0,0:0,0,0 ) ) ) ) (1,646:1310720,0:-2490368,0,0 (1,646:1310720,0:0,0,0 (1,646:1310720,16782118:-1310720,16782118,0 (1,646:1310720,16782118:-1310720,16782118,0 g1,646:0,16782118 (1,646:0,16782118:0,0,0 [1,646:0,16782118:27344508,16782118,0 (1,646:0,16782118:27344508,16782118,0 h1,646:0,16782118:0,0,0 r1,646:0,16782118:0,16782118,0 k1,646:27344508,16782118:27344508 g1,646:27344508,16782118 ) ] ) [1,646:0,16782118:0,16782118,0 ] ) ) ) (1,646:1310720,0:0,0,0 (1,646:1310720,16782118:28524156,16782118,0 (1,646:1310720,16782118:28524156,16782118,0 g1,646:28655228,16782118 g1,646:29834876,16782118 (1,646:29834876,16782118:0,0,0 [1,646:29834876,16782118:27344508,16782118,0 (1,646:29834876,16782118:27344508,16782118,0 h1,646:29834876,16782118:0,0,0 r1,646:29834876,16782118:0,16782118,0 k1,646:57179384,16782118:27344508 g1,646:57179384,16782118 ) ] ) [1,646:29834876,16782118:0,16782118,0 (1,646:29834876,16192294:0,0,0 k1,646:29648397,16192294:-186479 g1,646:29834876,16192294 ) (1,646:29834876,16651046:0,0,0 k1,646:29648397,16651046:-186479 g1,646:29834876,16651046 ) ] ) ) ) (1,646:1310720,0:-2490368,0,0 g1,646:0,0 (1,646:0,0:0,0,0 [1,646:0,0:0,0,0 ] ) g1,646:-1179648,0 ) g1,646:-1179648,0 ) (1,646:1310720,0:27344508,0,0 h1,646:1310720,0:0,0,0 k1,646:28655228,0:27344508 g1,646:28655228,0 ) ] ) ) ] [1,646:1310720,16519974:27344508,16519974,0 [1,646:1310720,16519974:27344508,16519974,0 v1,646:1310720,0:0,0,0 v1,646:1310720,0:0,0,0 (1,646:1310720,1532292:27344508,655359,363719 h1,646:1310720,1532292:0,0,0 g1,646:2056580,1532292 $1,646:2056580,1532292 [1,646:2056580,1532292:21647823,579600,363719 (1,646:2056580,1532292:21647823,579600,174979 x1,646:4504713,1532292 g1,646:4723333,1532292 x1,646:5947007,1532292 x1,646:6963847,1532292 g1,646:7182467,1532292 x1,646:9455229,1532292 g1,646:9673849,1532292 x1,646:10329720,1532292 g1,646:10548340,1532292 x1,646:12907616,1532292 g1,646:13126236,1532292 x1,646:15398998,1532292 g1,646:15617618,1532292 x1,646:19289424,1532292 g1,646:19508044,1532292 x1,646:23311187,1532292 x1,646:23704403,1532292 ) ] $1,646:23704403,1532292 x1,646:24344462,1532292 k1,646:26499845,1532292:2155383 k1,646:28655228,1532292:2155383 ) v1,646:1310720,2059851:0,0,0 (1,646:2621442,3186445:26033786,378793,8646 (1,646:2621442,3186445:0,233017,0 g1,646:2621442,3186445 g1,646:1638400,3186445 g1,646:1310720,3186445 (1,646:1310720,3186445:983042,233017,0 k1,646:2293762,3186445:983042 (1,646:2293762,3186445:0,233017,0 k1,646:2108074,3186445:-185688 x1,646:2293762,3186445 ) ) g1,646:2621442,3186445 ) x1,646:5127510,3186445 k1,646:16891369,3186445:11763859 k1,646:28655228,3186445:11763859 ) (1,646:2621442,4581339:26033786,1189640,685131 k1,646:7633790,4581339:5012348 (1,646:7633790,4581339:468187,1189640,685131 g1,646:7633790,4581339 $1,646:7633790,4581339 (1,646:7633790,4581339:468187,233017,101945 x1,646:7890476,4581339 (1,646:7890476,4659982:211501,224696,0 x1,646:8041347,4659982 ) ) $1,646:8101977,4581339 ) k1,646:8101977,4581339:0 (1,646:8101977,4581339:1093135,1189640,685131 g1,646:8757337,4581339 g1,646:8757337,4581339 $1,646:8757337,4581339 (1,646:8757337,4581339:437775,199753,0 x1,646:9195112,4581339 ) $1,646:9195112,4581339 g1,646:9195112,4581339 ) k1,646:9195112,4581339:0 (1,646:9195112,4581339:14447768,1189640,685131 g1,646:9850472,4581339 $1,646:9850472,4581339 (1,646:9850472,4581339:13792408,1189640,685131 x1,646:10122632,4581339 [1,646:10122632,4710838:830785,604078,0 (1,646:10165971,4364808:787446,258048,33816 x1,646:10920649,4364808 ) (1,646:10122632,4710838:211501,224696,0 x1,646:10273503,4710838 ) ] g1,646:11040798,4581339 (1,646:11040798,4581339:2858681,917241,685131 (1,646:11040798,3684545:318762,20447,1551892 x1,646:11359560,3684545 ) [1,646:11359560,4581339:576192,461373,685131 (1,646:11359560,4581339:576192,461373,199229 (1,646:11359560,4690391:576192,570425,90177 x1,646:11935752,4690391 ) ) (1,646:11359560,5137583:576192,269459,76458 k1,646:11573962,5137583:214402 x1,646:11685238,5137583 k1,646:11935752,5137583:214402 ) ] g1,646:12023133,4581339 x1,646:12265255,4581339 (1,646:12265255,4670443:180156,269459,76458 x1,646:12376531,4670443 ) x1,646:12733045,4581339 [1,646:12733045,4754327:847672,1008454,76458 (1,646:12741781,4173412:838936,427539,227572 h1,646:12741781,4173412:78643,0,0 [1,646:12820424,4173412:648882,427539,227572 (1,646:12820424,3960913:648882,215040,90603 x1,646:13013424,3960913 x1,646:13469306,3960913 ) (1,646:12820424,4310381:648882,211681,90603 k1,646:13044270,4310381:223846 x1,646:13237270,4310381 k1,646:13469306,4310381:223845 ) ] h1,646:13469306,4173412:78643,0,0 ) (1,646:12733045,4754327:322777,269459,76458 x1,646:12995192,4754327 ) ] (1,646:13580717,3684545:318762,20447,1551892 x1,646:13899479,3684545 ) ) (1,646:13899479,3815879:1056384,424180,230931 (1,646:13899479,3815879:806168,424180,230931 (1,646:13899479,3815879:806168,424180,230931 h1,646:13899479,3815879:78643,0,0 [1,646:13978122,3815879:648882,424180,230931 (1,646:13978122,3603380:648882,211681,90603 k1,646:14201968,3603380:223846 x1,646:14394968,3603380 k1,646:14627004,3603380:223845 ) (1,646:13978122,3956207:648882,215040,90603 x1,646:14171122,3956207 x1,646:14627004,3956207 ) ] h1,646:14627004,3815879:78643,0,0 ) ) x1,646:14923095,3815879 ) g1,646:15101498,4581339 x1,646:15539273,4581339 g1,646:15684908,4581339 x1,646:15957068,4581339 (1,646:15957068,4659982:211501,224696,0 x1,646:16107939,4659982 ) g1,646:16255950,4581339 (1,646:16255950,4581339:2876263,917241,685131 (1,646:16255950,3684545:318762,20447,1551892 x1,646:16574712,3684545 ) [1,646:16574712,4581339:576192,461373,685131 (1,646:16574712,4581339:576192,461373,199229 (1,646:16574712,4690391:576192,570425,90177 x1,646:17150904,4690391 ) ) (1,646:16574712,5137583:576192,269459,76458 k1,646:16789114,5137583:214402 x1,646:16900390,5137583 k1,646:17150904,5137583:214402 ) ] g1,646:17238285,4581339 x1,646:17480407,4581339 (1,646:17480407,4670443:180156,269459,76458 x1,646:17591683,4670443 ) x1,646:17917249,4581339 [1,646:17917249,4754327:896202,1008454,76458 (1,646:17974515,4173412:838936,427539,227572 h1,646:17974515,4173412:78643,0,0 [1,646:18053158,4173412:648882,427539,227572 (1,646:18053158,3960913:648882,215040,90603 x1,646:18246158,3960913 x1,646:18702040,3960913 ) (1,646:18053158,4310381:648882,211681,90603 k1,646:18277004,4310381:223846 x1,646:18470004,4310381 k1,646:18702040,4310381:223845 ) ] h1,646:18702040,4173412:78643,0,0 ) (1,646:17917249,4754327:322777,269459,76458 x1,646:18179396,4754327 ) ] (1,646:18813451,3684545:318762,20447,1551892 x1,646:19132213,3684545 ) ) (1,646:19132213,3815879:1056384,424180,230931 (1,646:19132213,3815879:806168,424180,230931 (1,646:19132213,3815879:806168,424180,230931 h1,646:19132213,3815879:78643,0,0 [1,646:19210856,3815879:648882,424180,230931 (1,646:19210856,3603380:648882,211681,90603 k1,646:19434702,3603380:223846 x1,646:19627702,3603380 k1,646:19859738,3603380:223845 ) (1,646:19210856,3956207:648882,215040,90603 x1,646:19403856,3956207 x1,646:19859738,3956207 ) ] h1,646:19859738,3815879:78643,0,0 ) ) x1,646:20155829,3815879 ) g1,646:20712885,4581339 g1,646:20800266,4581339 x1,646:20955006,4581339 g1,646:21479294,4581339 g1,646:21566675,4581339 x1,646:21823361,4581339 (1,646:21823361,4670443:322777,269459,76458 x1,646:22085508,4670443 ) g1,646:22291773,4581339 x1,646:22729548,4581339 g1,646:22875183,4581339 (1,646:22875183,4581339:767697,603050,438304 (1,646:22875183,4581339:767697,603050,438304 h1,646:22875183,4581339:78643,0,0 [1,646:22953826,4581339:610411,603050,438304 (1,646:22953826,4211306:610411,233017,165562 x1,646:23241460,4211306 (1,646:23241460,4300410:322777,269459,76458 x1,646:23503607,4300410 ) ) (1,646:22953826,4940999:610411,364089,78643 k1,646:23017201,4940999:63375 x1,646:23289361,4940999 (1,646:23289361,5019642:211501,224696,0 x1,646:23440232,5019642 ) k1,646:23564237,4940999:63375 ) ] h1,646:23564237,4581339:78643,0,0 ) ) ) $1,646:23642880,4581339 g1,646:23642880,4581339 ) k1,646:28655228,4581339:5012348 (1,646:28655228,4581339:0,1189640,685131 (1,646:28655228,4581339:0,0,0 g1,646:28655228,4581339 ) ) k1,646:28655228,4581339:0 ) (1,646:2621442,5463078:26033786,0,0 k1,646:15638335,5463078:13016893 k1,646:28655228,5463078:13016893 ) (1,646:2621442,6589672:26033786,378793,165562 (1,646:2621442,6589672:0,233017,0 g1,646:2621442,6589672 g1,646:1638400,6589672 g1,646:1310720,6589672 (1,646:1310720,6589672:983042,233017,0 k1,646:2293762,6589672:983042 (1,646:2293762,6589672:0,233017,0 k1,646:2108074,6589672:-185688 x1,646:2293762,6589672 ) ) g1,646:2621442,6589672 ) x1,646:3990863,6589672 x1,646:4660898,6589672 x1,646:4907317,6589672 g1,646:5053064,6589672 x1,646:5227650,6589672 g1,646:5373397,6589672 x1,646:6626428,6589672 g1,646:6772175,6589672 x1,646:7704347,6589672 g1,646:7850094,6589672 $1,646:7850094,6589672 x1,646:8122254,6589672 (1,646:8122254,6668315:211501,224696,0 x1,646:8273125,6668315 ) $1,646:8333755,6589672 x1,646:8479502,6589672 g1,646:8625249,6589672 x1,646:10315541,6589672 g1,646:10461288,6589672 $1,646:10461288,6589672 x1,646:10717974,6589672 (1,646:10717974,6678776:322777,269459,76458 x1,646:10980121,6678776 ) $1,646:11040751,6589672 k1,646:19847989,6589672:8807238 k1,646:28655227,6589672:8807238 ) (1,646:11242325,7529815:8792021,409993,165562 x1,646:11514485,7529815 (1,646:11514485,7608458:730170,238682,33816 x1,646:11665356,7608458 x1,646:12181704,7608458 ) g1,646:12390290,7529815 x1,646:12828065,7529815 g1,646:12973700,7529815 x1,646:13477151,7529815 g1,646:13579095,7529815 x1,646:14016870,7529815 g1,646:14118814,7529815 x1,646:14353695,7529815 x1,646:14596964,7529815 (1,646:14596964,7313284:223106,174762,0 x1,646:14757119,7313284 ) x1,646:15092230,7529815 (1,646:15092230,7608458:211501,224696,0 x1,646:15243101,7608458 ) g1,646:15828019,7529815 x1,646:15982759,7529815 g1,646:16507047,7529815 g1,646:16594428,7529815 x1,646:16882062,7529815 (1,646:16882062,7618919:841446,269459,76458 x1,646:17144209,7618919 x1,646:17660557,7618919 ) g1,646:17869143,7529815 x1,646:18306918,7529815 g1,646:18452553,7529815 x1,646:18709239,7529815 (1,646:18709239,7618919:322777,269459,76458 x1,646:18971386,7618919 ) x1,646:19304176,7529815 (1,646:19304176,7608458:730170,238682,33816 x1,646:19455047,7608458 x1,646:19971395,7608458 ) ) (1,646:2621442,8721945:26033786,382988,8646 (1,646:2621442,8721945:0,233017,0 g1,646:2621442,8721945 g1,646:1638400,8721945 g1,646:1310720,8721945 (1,646:1310720,8721945:983042,233017,0 k1,646:2293762,8721945:983042 (1,646:2293762,8721945:0,233017,0 k1,646:2108074,8721945:-185688 x1,646:2293762,8721945 ) ) g1,646:2621442,8721945 ) x1,646:3437225,8721945 x1,646:4107260,8721945 k1,646:16381244,8721945:12273984 k1,646:28655228,8721945:12273984 ) (1,646:2621442,9918328:26033786,804650,685131 k1,646:9886893,9918328:7265451 (1,646:9886893,9918328:660816,804650,685131 g1,646:9886893,9918328 $1,646:9886893,9918328 (1,646:9886893,9918328:660816,361758,78643 x1,646:10336208,9918328 (1,646:10336208,9996971:211501,224696,0 x1,646:10487079,9996971 ) ) $1,646:10547709,9918328 ) k1,646:10547709,9918328:0 (1,646:10547709,9918328:1093135,804650,685131 g1,646:11203069,9918328 g1,646:11203069,9918328 $1,646:11203069,9918328 (1,646:11203069,9918328:437775,199753,0 x1,646:11640844,9918328 ) $1,646:11640844,9918328 g1,646:11640844,9918328 ) k1,646:11640844,9918328:0 (1,646:11640844,9918328:9748934,804650,685131 g1,646:12296204,9918328 $1,646:12296204,9918328 (1,646:12296204,9918328:9093574,804650,685131 x1,646:13006139,9918328 (1,646:13006139,9996971:211501,224696,0 x1,646:13157010,9996971 ) g1,646:13319584,9918328 x1,646:13757359,9918328 g1,646:13859303,9918328 [1,646:13859303,9918328:727568,804650,597262 (1,646:13859303,9352098:727568,185991,0 k1,646:14050468,9352098:191165 x1,646:14395707,9352098 k1,646:14586871,9352098:191164 ) (1,646:13859303,9918328:727568,461373,199229 k1,646:13934991,9918328:75688 (1,646:13934991,10027380:576192,570425,90177 x1,646:14511183,10027380 ) k1,646:14586871,9918328:75688 ) (1,646:13859303,10463161:727568,258048,0 x1,646:14019458,10463161 x1,646:14586871,10463161 ) ] g1,646:14674252,9918328 x1,646:14995116,9918328 (1,646:14984630,9701797:223106,174762,0 x1,646:15144785,9701797 ) (1,646:15207736,9918328:260571,623219,361075 $1,646:15207736,9918328 (1,646:15207736,9918328:260571,623219,361075 (1,646:15207736,9336106:260571,20447,922747 x1,646:15468307,9336106 ) v1,646:15468307,9918328:0,623219,361075 h1,646:15468307,9918328:0,0,0 ) $1,646:15468307,9918328 ) x1,646:15755941,9918328 (1,646:15755941,9996971:730170,238682,33816 x1,646:15906812,9996971 x1,646:16423160,9996971 ) x1,646:16742797,9918328 (1,646:16742797,9996971:730170,238682,33816 x1,646:16893668,9996971 x1,646:17410016,9996971 ) g1,646:17574911,9918328 x1,646:18012686,9918328 g1,646:18114630,9918328 [1,646:18114630,9918328:576192,461373,685131 (1,646:18114630,9918328:576192,461373,199229 (1,646:18114630,10027380:576192,570425,90177 x1,646:18690822,10027380 ) ) (1,646:18114630,10474572:576192,269459,76458 k1,646:18329032,10474572:214402 x1,646:18440308,10474572 k1,646:18690822,10474572:214402 ) ] g1,646:18778203,9918328 x1,646:19158681,9918328 (1,646:19158681,10007432:841446,269459,76458 x1,646:19420828,10007432 x1,646:19937176,10007432 ) x1,646:20287761,9918328 (1,646:20287761,10007432:841446,269459,76458 x1,646:20549908,10007432 x1,646:21066256,10007432 ) (1,646:21129207,9918328:260571,623219,361075 $1,646:21129207,9918328 (1,646:21129207,9918328:260571,623219,361075 (1,646:21129207,9336106:260571,20447,922747 x1,646:21389778,9336106 ) v1,646:21389778,9918328:0,623219,361075 h1,646:21389778,9918328:0,0,0 ) $1,646:21389778,9918328 ) ) $1,646:21389778,9918328 g1,646:21389778,9918328 ) k1,646:28655228,9918328:7265450 (1,646:28655228,9918328:0,804650,685131 (1,646:28655228,9918328:0,0,0 g1,646:28655228,9918328 ) ) k1,646:28655228,9918328:0 ) (1,646:2621442,11275596:26033786,409993,165562 (1,646:2621442,11275596:0,233017,0 g1,646:2621442,11275596 g1,646:1638400,11275596 g1,646:1310720,11275596 (1,646:1310720,11275596:983042,233017,0 k1,646:2293762,11275596:983042 (1,646:2293762,11275596:0,233017,0 k1,646:2108074,11275596:-185688 x1,646:2293762,11275596 ) ) g1,646:2621442,11275596 ) x1,646:4369397,11275596 x1,646:5221877,11275596 x1,646:5367624,11275596 g1,646:5547969,11275596 x1,646:6334394,11275596 x1,646:6904289,11275596 g1,646:7050036,11275596 $1,646:7050036,11275596 x1,646:7430514,11275596 (1,646:7430514,11364700:322777,269459,76458 x1,646:7692661,11364700 ) $1,646:7753291,11275596 x1,646:7899038,11275596 g1,646:8079383,11275596 x1,646:8544945,11275596 g1,646:8690692,11275596 $1,646:8690692,11275596 x1,646:9009275,11275596 x1,646:9761130,11275596 x1,646:10304650,11275596 (1,646:10304650,11364700:322777,269459,76458 x1,646:10566797,11364700 ) x1,646:10852346,11275596 $1,646:10852346,11275596 g1,646:10998093,11275596 x1,646:11304275,11275596 g1,646:11450022,11275596 $1,646:11450022,11275596 x1,646:12124256,11275596 (1,646:12124256,11354239:211501,224696,0 x1,646:12275127,11354239 ) g1,646:12481392,11275596 x1,646:12919167,11275596 g1,646:13064802,11275596 x1,646:13568253,11275596 $1,646:13568253,11275596 g1,646:13714000,11275596 x1,646:14908836,11275596 x1,646:16058065,11275596 g1,646:16203812,11275596 $1,646:16203812,11275596 x1,646:16460498,11275596 (1,646:16460498,11364700:322777,269459,76458 x1,646:16722645,11364700 ) $1,646:16783275,11275596 g1,646:16929022,11275596 x1,646:17803525,11275596 g1,646:17949272,11275596 $1,646:17949272,11275596 x1,646:18236906,11275596 (1,646:18236906,11354239:211501,224696,0 x1,646:18387777,11354239 ) $1,646:18448407,11275596 x1,646:18594154,11275596 g1,646:18739901,11275596 x1,646:19321853,11275596 g1,646:19467600,11275596 $1,646:19467600,11275596 x1,646:19739760,11275596 (1,646:19739760,11354239:211501,224696,0 x1,646:19890631,11354239 ) $1,646:19951261,11275596 g1,646:20097008,11275596 x1,646:21145572,11275596 g1,646:21291319,11275596 $1,646:21291319,11275596 x1,646:21647221,11275596 (1,646:21647221,11354239:211501,224696,0 x1,646:21798092,11354239 ) g1,646:22004357,11275596 x1,646:22442132,11275596 g1,646:22587767,11275596 x1,646:22959143,11275596 (1,646:22959143,11354239:211501,224696,0 x1,646:23110014,11354239 ) $1,646:23170644,11275596 g1,646:23316391,11275596 k1,646:25985810,11275596:2669419 k1,646:28655228,11275596:2669418 ) (1,646:10892772,12518599:9491127,507903,136839 x1,646:11248674,12518599 (1,646:11248674,12597242:211501,224696,0 x1,646:11399545,12597242 ) g1,646:11605810,12518599 x1,646:12043585,12518599 g1,646:12189220,12518599 x1,646:12435111,12518599 x1,646:12738473,12518599 [1,646:12738473,12646824:632395,636128,0 (1,646:12759688,12249378:611180,238682,88866 x1,646:13332202,12249378 ) (1,646:12738473,12646824:211501,224696,0 x1,646:12889344,12646824 ) ] g1,646:13895156,12518599 x1,646:14049896,12518599 g1,646:14574184,12518599 g1,646:14661565,12518599 x1,646:15032941,12518599 (1,646:15032941,12597242:211501,224696,0 x1,646:15183812,12597242 ) g1,646:15390077,12518599 x1,646:15827852,12518599 g1,646:15973487,12518599 x1,646:16476938,12518599 g1,646:16578882,12518599 x1,646:17016657,12518599 g1,646:17118601,12518599 x1,646:17353482,12518599 x1,646:17968127,12518599 (1,646:17968127,12597242:748731,258048,33816 x1,646:18118998,12597242 x1,646:18684090,12597242 ) g1,646:18818802,12518599 x1,646:19256577,12518599 g1,646:19358521,12518599 x1,646:19630681,12518599 (1,646:19630681,12597242:211501,224696,0 x1,646:19781552,12597242 ) (1,646:19842182,12518599:291501,278918,0 (1,646:19842182,12518599:291501,278918,0 x1,646:20133683,12518599 ) ) [1,646:20133683,12648098:250216,536149,0 (1,646:20133683,12302068:250216,190119,3735 x1,646:20351131,12302068 ) (1,646:20133683,12648098:211501,224696,0 x1,646:20284554,12648098 ) ] ) (1,646:2621442,13337799:26033786,409993,165562 (1,646:2621442,13337799:0,233017,0 g1,646:2621442,13337799 g1,646:1638400,13337799 g1,646:1310720,13337799 (1,646:1310720,13337799:983042,233017,0 k1,646:2293762,13337799:983042 (1,646:2293762,13337799:0,233017,0 k1,646:2108074,13337799:-185688 x1,646:2293762,13337799 ) ) g1,646:2621442,13337799 ) x1,646:2941781,13337799 x1,646:3392143,13337799 g1,646:3537890,13337799 x1,646:4732718,13337799 g1,646:4878465,13337799 x1,646:5898715,13337799 g1,646:6044462,13337799 x1,646:7064712,13337799 g1,646:7210459,13337799 $1,646:7210459,13337799 x1,646:7603680,13337799 (1,646:7603680,13426903:841446,269459,76458 x1,646:7865827,13426903 x1,646:8382175,13426903 ) g1,646:8590761,13337799 x1,646:9028536,13337799 g1,646:9174171,13337799 x1,646:9677622,13337799 g1,646:9779566,13337799 x1,646:10217341,13337799 g1,646:10319285,13337799 x1,646:10554166,13337799 x1,646:11190656,13337799 (1,646:11190656,13426903:322777,269459,76458 x1,646:11452803,13426903 ) g1,646:11615377,13337799 x1,646:12053152,13337799 g1,646:12155096,13337799 x1,646:12427256,13337799 (1,646:12427256,13416442:211501,224696,0 x1,646:12578127,13416442 ) x1,646:12895443,13337799 (1,646:12895443,13426903:322777,269459,76458 x1,646:13157590,13426903 ) $1,646:13218220,13337799 x1,646:13363967,13337799 g1,646:13544312,13337799 x1,646:14884902,13337799 g1,646:15030649,13337799 x1,646:16545830,13337799 g1,646:16691577,13337799 x1,646:17070111,13337799 x1,646:18055762,13337799 g1,646:18201509,13337799 x1,646:19133688,13337799 x1,646:19412082,13337799 g1,646:19557829,13337799 $1,646:19557829,13337799 x1,646:19938307,13337799 (1,646:19938307,13426903:322777,269459,76458 x1,646:20200454,13426903 ) x1,646:20879543,13337799 (1,646:20879543,13416442:211501,224696,0 x1,646:21030414,13416442 ) g1,646:21236679,13337799 x1,646:21674454,13337799 g1,646:21820089,13337799 x1,646:22202388,13337799 x1,646:22716781,13337799 (1,646:22716781,13426903:322777,269459,76458 x1,646:22978928,13426903 ) x1,646:23194298,13337799 g1,646:23281679,13337799 x1,646:23674900,13337799 (1,646:23674900,13426903:322777,269459,76458 x1,646:23937047,13426903 ) x1,646:24222596,13337799 $1,646:24222596,13337799 x1,646:24368343,13337799 g1,646:24548688,13337799 x1,646:25597251,13337799 g1,646:25742998,13337799 x1,646:26907957,13337799 k1,646:28655228,13337799:1747271 ) (1,646:2621442,13960391:26033786,382988,116653 x1,646:3524777,13960391 x1,646:5373930,13960391 x1,646:5511818,13960391 g1,646:5657565,13960391 x1,646:6211210,13960391 g1,646:6356957,13960391 x1,646:6764848,13960391 g1,646:6910595,13960391 x1,646:8483446,13960391 x1,646:8587253,13960391 g1,646:8733000,13960391 x1,646:9548782,13960391 g1,646:9694529,13960391 x1,646:10073063,13960391 g1,646:10218810,13960391 x1,646:10801287,13960391 x1,646:12107271,13960391 x1,646:12253018,13960391 x1,646:13081914,13960391 x1,646:13831643,13960391 g1,646:13977390,13960391 x1,646:14414638,13960391 g1,646:14560385,13960391 x1,646:15696507,13960391 x1,646:16469820,13960391 x1,646:17193327,13960391 x1,646:17331215,13960391 g1,646:17511560,13960391 x1,646:18560123,13960391 g1,646:18705870,13960391 x1,646:19084404,13960391 x1,646:20215802,13960391 g1,646:20361549,13960391 x1,646:20653050,13960391 x1,646:20944551,13960391 x1,646:21890362,13960391 g1,646:22036109,13960391 x1,646:23638316,13960391 g1,646:23784063,13960391 x1,646:25299239,13960391 x1,646:25418771,13960391 k1,646:27037000,13960391:1618229 k1,646:28655228,13960391:1618228 ) (1,646:2621442,14714055:26033786,382988,116653 (1,646:2621442,14714055:0,233017,0 g1,646:2621442,14714055 g1,646:1638400,14714055 g1,646:1310720,14714055 (1,646:1310720,14714055:983042,233017,0 k1,646:2293762,14714055:983042 (1,646:2293762,14714055:0,233017,0 k1,646:2108074,14714055:-185688 x1,646:2293762,14714055 ) ) g1,646:2621442,14714055 ) x1,646:3291477,14714055 x1,646:3662152,14714055 x1,646:3948411,14714055 x1,646:4194830,14714055 x1,646:4647810,14714055 g1,646:4793557,14714055 x1,646:5522306,14714055 g1,646:5668053,14714055 x1,646:5959554,14714055 x1,646:6619106,14714055 x1,646:6870767,14714055 g1,646:7016514,14714055 x1,646:9375791,14714055 g1,646:9521538,14714055 x1,646:10453710,14714055 g1,646:10599457,14714055 x1,646:11677378,14714055 x1,646:12580713,14714055 x1,646:12858582,14714055 g1,646:13004329,14714055 x1,646:14577706,14714055 g1,646:14723453,14714055 x1,646:15772017,14714055 g1,646:15917764,14714055 x1,646:17083243,14714055 g1,646:17228990,14714055 x1,646:19123225,14714055 g1,646:19268972,14714055 x1,646:20230508,14714055 g1,646:20376255,14714055 x1,646:21366630,14714055 x1,646:21909792,14714055 g1,646:22055539,14714055 x1,646:22871321,14714055 g1,646:23017068,14714055 x1,646:24386495,14714055 g1,646:24532242,14714055 x1,646:24852575,14714055 x1,646:26129727,14714055 x1,646:26527135,14714055 x1,646:26665023,14714055 k1,646:27660126,14714055:995103 k1,646:28655228,14714055:995102 ) ] ] (1,646:1310720,16782118:27344508,0,0 (1,646:1310720,16782118:27344508,0,0 [1,646:1310720,16782118:27344508,0,0 (1,646:1310720,16782118:27344508,0,0 (1,646:1310720,16782118:27344508,0,0 g1,646:0,16782118 (1,646:0,16782118:29834876,0,0 [1,646:0,16782118:29834876,0,0 (1,646:0,16782118:29834876,0,0 h1,646:0,16782118:0,0,0 $1,646:0,16782118 [1,646:0,16782118:20511477,-133806,-297646 (1,646:0,16411564:20511477,241500,72908 h1,646:0,16411564:0,0,0 k1,646:1305270,16411564:1305270 k1,646:1382640,16411564:77370 k1,646:1452107,16411564:69467 x1,646:2089439,16411564 x1,646:2453815,16411564 x1,646:2611103,16411564 k1,646:2680570,16411564:69467 x1,646:2789686,16411564 k1,646:2859153,16411564:69467 x1,646:3332321,16411564 x1,646:3842189,16411564 x1,646:4443149,16411564 k1,646:4512616,16411564:69467 x1,646:4785895,16411564 k1,646:4855362,16411564:69467 x1,646:5638181,16411564 k1,646:5707648,16411564:69467 x1,646:5980927,16411564 k1,646:6050394,16411564:69467 x1,646:6305326,16411564 k1,646:6374793,16411564:69467 x1,646:7321777,16411564 k1,646:7391244,16411564:69467 k1,646:27902721,16411564:20511477 k1,646:27972188,16411564:69467 x1,646:28154376,16411564 x1,646:29053841,16411564 k1,646:29053841,16411564:0 k1,646:29053841,16411564:0 ) ] $1,646:20511477,16782118 k1,646:29834876,16782118:9323399 g1,646:29834876,16782118 ) ] ) g1,646:28655228,16782118 ) g1,646:28655228,16782118 g1,646:28655228,16782118 ) h1,646:1310720,16782118:0,0,0 ] g1,646:28655228,16782118 ) ) ] ] ] !24816 }30 !11 {31 [1,646:4736286,16782118:23918942,12045832,0 (1,646:4736286,4736286:0,0,0 [1,646:0,4736286:0,0,0 (1,646:0,0:0,0,0 (1,646:0,4736286:0,0,0 k1,646:0,4736286:4736286 ) g1,646:0,0 ) ] ) [1,646:4736286,4736286:0,0,0 (1,646:4736286,-65781:0,0,0 k1,646:4736286,-65781:3491347 ) ] [1,646:4736286,16782118:23918942,12045832,0 [1,646:1310720,16782118:27344508,16782118,0 [1,646:1310720,0:27344508,0,0 (1,646:1310720,0:27344508,0,0 (1,646:1310720,0:27344508,0,0 [1,646:1310720,0:27344508,0,0 (1,646:1310720,0:-1310720,0,0 g1,646:0,0 (1,646:0,0:0,0,0 (1,646:0,16782118:29834876,16782118,0 (1,646:0,16782118:29834876,16782118,0 r1,646:29834876,16782118:29834876,16782118,0 ) ) ) (1,646:0,0:0,0,0 (1,646:0,0:0,0,0 (1,646:0,0:0,0,0 ) ) ) ) (1,646:1310720,0:-2490368,0,0 (1,646:1310720,0:0,0,0 (1,646:1310720,16782118:-1310720,16782118,0 (1,646:1310720,16782118:-1310720,16782118,0 g1,646:0,16782118 (1,646:0,16782118:0,0,0 [1,646:0,16782118:27344508,16782118,0 (1,646:0,16782118:27344508,16782118,0 h1,646:0,16782118:0,0,0 r1,646:0,16782118:0,16782118,0 k1,646:27344508,16782118:27344508 g1,646:27344508,16782118 ) ] ) [1,646:0,16782118:0,16782118,0 ] ) ) ) (1,646:1310720,0:0,0,0 (1,646:1310720,16782118:28524156,16782118,0 (1,646:1310720,16782118:28524156,16782118,0 g1,646:28655228,16782118 g1,646:29834876,16782118 (1,646:29834876,16782118:0,0,0 [1,646:29834876,16782118:27344508,16782118,0 (1,646:29834876,16782118:27344508,16782118,0 h1,646:29834876,16782118:0,0,0 r1,646:29834876,16782118:0,16782118,0 k1,646:57179384,16782118:27344508 g1,646:57179384,16782118 ) ] ) [1,646:29834876,16782118:0,16782118,0 (1,646:29834876,16192294:0,0,0 k1,646:29648397,16192294:-186479 g1,646:29834876,16192294 ) (1,646:29834876,16651046:0,0,0 k1,646:29648397,16651046:-186479 g1,646:29834876,16651046 ) ] ) ) ) (1,646:1310720,0:-2490368,0,0 g1,646:0,0 (1,646:0,0:0,0,0 [1,646:0,0:0,0,0 ] ) g1,646:-1179648,0 ) g1,646:-1179648,0 ) (1,646:1310720,0:27344508,0,0 h1,646:1310720,0:0,0,0 k1,646:28655228,0:27344508 g1,646:28655228,0 ) ] ) ) ] [1,646:1310720,16519974:27344508,16519974,0 [1,646:1310720,16519974:27344508,16519974,0 v1,646:1310720,0:0,0,0 v1,646:1310720,0:0,0,0 (1,646:1310720,1532292:27344508,655359,363719 h1,646:1310720,1532292:0,0,0 g1,646:2056580,1532292 $1,646:2056580,1532292 [1,646:2056580,1532292:21647823,579600,363719 (1,646:2056580,1532292:21647823,579600,174979 x1,646:4504713,1532292 g1,646:4723333,1532292 x1,646:5947007,1532292 x1,646:6963847,1532292 g1,646:7182467,1532292 x1,646:9455229,1532292 g1,646:9673849,1532292 x1,646:10329720,1532292 g1,646:10548340,1532292 x1,646:12907616,1532292 g1,646:13126236,1532292 x1,646:15398998,1532292 g1,646:15617618,1532292 x1,646:19289424,1532292 g1,646:19508044,1532292 x1,646:23311187,1532292 x1,646:23704403,1532292 ) ] $1,646:23704403,1532292 x1,646:24344462,1532292 k1,646:26499845,1532292:2155383 k1,646:28655228,1532292:2155383 ) v1,646:1310720,2059851:0,0,0 (1,646:2621442,3186445:26033786,378793,8646 (1,646:2621442,3186445:0,233017,0 g1,646:2621442,3186445 g1,646:1638400,3186445 g1,646:1310720,3186445 (1,646:1310720,3186445:983042,233017,0 k1,646:2293762,3186445:983042 (1,646:2293762,3186445:0,233017,0 k1,646:2108074,3186445:-185688 x1,646:2293762,3186445 ) ) g1,646:2621442,3186445 ) x1,646:5127510,3186445 k1,646:16891369,3186445:11763859 k1,646:28655228,3186445:11763859 ) (1,646:2621442,4581339:26033786,1189640,685131 k1,646:7633790,4581339:5012348 (1,646:7633790,4581339:468187,1189640,685131 g1,646:7633790,4581339 $1,646:7633790,4581339 (1,646:7633790,4581339:468187,233017,101945 x1,646:7890476,4581339 (1,646:7890476,4659982:211501,224696,0 x1,646:8041347,4659982 ) ) $1,646:8101977,4581339 ) k1,646:8101977,4581339:0 (1,646:8101977,4581339:1093135,1189640,685131 g1,646:8757337,4581339 g1,646:8757337,4581339 $1,646:8757337,4581339 (1,646:8757337,4581339:437775,199753,0 x1,646:9195112,4581339 ) $1,646:9195112,4581339 g1,646:9195112,4581339 ) k1,646:9195112,4581339:0 (1,646:9195112,4581339:14447768,1189640,685131 g1,646:9850472,4581339 $1,646:9850472,4581339 (1,646:9850472,4581339:13792408,1189640,685131 x1,646:10122632,4581339 [1,646:10122632,4710838:830785,604078,0 (1,646:10165971,4364808:787446,258048,33816 x1,646:10920649,4364808 ) (1,646:10122632,4710838:211501,224696,0 x1,646:10273503,4710838 ) ] g1,646:11040798,4581339 (1,646:11040798,4581339:2858681,917241,685131 (1,646:11040798,3684545:318762,20447,1551892 x1,646:11359560,3684545 ) [1,646:11359560,4581339:576192,461373,685131 (1,646:11359560,4581339:576192,461373,199229 (1,646:11359560,4690391:576192,570425,90177 x1,646:11935752,4690391 ) ) (1,646:11359560,5137583:576192,269459,76458 k1,646:11573962,5137583:214402 x1,646:11685238,5137583 k1,646:11935752,5137583:214402 ) ] g1,646:12023133,4581339 x1,646:12265255,4581339 (1,646:12265255,4670443:180156,269459,76458 x1,646:12376531,4670443 ) x1,646:12733045,4581339 [1,646:12733045,4754327:847672,1008454,76458 (1,646:12741781,4173412:838936,427539,227572 h1,646:12741781,4173412:78643,0,0 [1,646:12820424,4173412:648882,427539,227572 (1,646:12820424,3960913:648882,215040,90603 x1,646:13013424,3960913 x1,646:13469306,3960913 ) (1,646:12820424,4310381:648882,211681,90603 k1,646:13044270,4310381:223846 x1,646:13237270,4310381 k1,646:13469306,4310381:223845 ) ] h1,646:13469306,4173412:78643,0,0 ) (1,646:12733045,4754327:322777,269459,76458 x1,646:12995192,4754327 ) ] (1,646:13580717,3684545:318762,20447,1551892 x1,646:13899479,3684545 ) ) (1,646:13899479,3815879:1056384,424180,230931 (1,646:13899479,3815879:806168,424180,230931 (1,646:13899479,3815879:806168,424180,230931 h1,646:13899479,3815879:78643,0,0 [1,646:13978122,3815879:648882,424180,230931 (1,646:13978122,3603380:648882,211681,90603 k1,646:14201968,3603380:223846 x1,646:14394968,3603380 k1,646:14627004,3603380:223845 ) (1,646:13978122,3956207:648882,215040,90603 x1,646:14171122,3956207 x1,646:14627004,3956207 ) ] h1,646:14627004,3815879:78643,0,0 ) ) x1,646:14923095,3815879 ) g1,646:15101498,4581339 x1,646:15539273,4581339 g1,646:15684908,4581339 x1,646:15957068,4581339 (1,646:15957068,4659982:211501,224696,0 x1,646:16107939,4659982 ) g1,646:16255950,4581339 (1,646:16255950,4581339:2876263,917241,685131 (1,646:16255950,3684545:318762,20447,1551892 x1,646:16574712,3684545 ) [1,646:16574712,4581339:576192,461373,685131 (1,646:16574712,4581339:576192,461373,199229 (1,646:16574712,4690391:576192,570425,90177 x1,646:17150904,4690391 ) ) (1,646:16574712,5137583:576192,269459,76458 k1,646:16789114,5137583:214402 x1,646:16900390,5137583 k1,646:17150904,5137583:214402 ) ] g1,646:17238285,4581339 x1,646:17480407,4581339 (1,646:17480407,4670443:180156,269459,76458 x1,646:17591683,4670443 ) x1,646:17917249,4581339 [1,646:17917249,4754327:896202,1008454,76458 (1,646:17974515,4173412:838936,427539,227572 h1,646:17974515,4173412:78643,0,0 [1,646:18053158,4173412:648882,427539,227572 (1,646:18053158,3960913:648882,215040,90603 x1,646:18246158,3960913 x1,646:18702040,3960913 ) (1,646:18053158,4310381:648882,211681,90603 k1,646:18277004,4310381:223846 x1,646:18470004,4310381 k1,646:18702040,4310381:223845 ) ] h1,646:18702040,4173412:78643,0,0 ) (1,646:17917249,4754327:322777,269459,76458 x1,646:18179396,4754327 ) ] (1,646:18813451,3684545:318762,20447,1551892 x1,646:19132213,3684545 ) ) (1,646:19132213,3815879:1056384,424180,230931 (1,646:19132213,3815879:806168,424180,230931 (1,646:19132213,3815879:806168,424180,230931 h1,646:19132213,3815879:78643,0,0 [1,646:19210856,3815879:648882,424180,230931 (1,646:19210856,3603380:648882,211681,90603 k1,646:19434702,3603380:223846 x1,646:19627702,3603380 k1,646:19859738,3603380:223845 ) (1,646:19210856,3956207:648882,215040,90603 x1,646:19403856,3956207 x1,646:19859738,3956207 ) ] h1,646:19859738,3815879:78643,0,0 ) ) x1,646:20155829,3815879 ) g1,646:20712885,4581339 g1,646:20800266,4581339 x1,646:20955006,4581339 g1,646:21479294,4581339 g1,646:21566675,4581339 x1,646:21823361,4581339 (1,646:21823361,4670443:322777,269459,76458 x1,646:22085508,4670443 ) g1,646:22291773,4581339 x1,646:22729548,4581339 g1,646:22875183,4581339 (1,646:22875183,4581339:767697,603050,438304 (1,646:22875183,4581339:767697,603050,438304 h1,646:22875183,4581339:78643,0,0 [1,646:22953826,4581339:610411,603050,438304 (1,646:22953826,4211306:610411,233017,165562 x1,646:23241460,4211306 (1,646:23241460,4300410:322777,269459,76458 x1,646:23503607,4300410 ) ) (1,646:22953826,4940999:610411,364089,78643 k1,646:23017201,4940999:63375 x1,646:23289361,4940999 (1,646:23289361,5019642:211501,224696,0 x1,646:23440232,5019642 ) k1,646:23564237,4940999:63375 ) ] h1,646:23564237,4581339:78643,0,0 ) ) ) $1,646:23642880,4581339 g1,646:23642880,4581339 ) k1,646:28655228,4581339:5012348 (1,646:28655228,4581339:0,1189640,685131 (1,646:28655228,4581339:0,0,0 g1,646:28655228,4581339 ) ) k1,646:28655228,4581339:0 ) (1,646:2621442,5463078:26033786,0,0 k1,646:15638335,5463078:13016893 k1,646:28655228,5463078:13016893 ) (1,646:2621442,6589672:26033786,378793,165562 (1,646:2621442,6589672:0,233017,0 g1,646:2621442,6589672 g1,646:1638400,6589672 g1,646:1310720,6589672 (1,646:1310720,6589672:983042,233017,0 k1,646:2293762,6589672:983042 (1,646:2293762,6589672:0,233017,0 k1,646:2108074,6589672:-185688 x1,646:2293762,6589672 ) ) g1,646:2621442,6589672 ) x1,646:3990863,6589672 x1,646:4660898,6589672 x1,646:4907317,6589672 g1,646:5053064,6589672 x1,646:5227650,6589672 g1,646:5373397,6589672 x1,646:6626428,6589672 g1,646:6772175,6589672 x1,646:7704347,6589672 g1,646:7850094,6589672 $1,646:7850094,6589672 x1,646:8122254,6589672 (1,646:8122254,6668315:211501,224696,0 x1,646:8273125,6668315 ) $1,646:8333755,6589672 x1,646:8479502,6589672 g1,646:8625249,6589672 x1,646:10315541,6589672 g1,646:10461288,6589672 $1,646:10461288,6589672 x1,646:10717974,6589672 (1,646:10717974,6678776:322777,269459,76458 x1,646:10980121,6678776 ) $1,646:11040751,6589672 k1,646:19847989,6589672:8807238 k1,646:28655227,6589672:8807238 ) (1,646:11242325,7529815:8792021,409993,165562 x1,646:11514485,7529815 (1,646:11514485,7608458:730170,238682,33816 x1,646:11665356,7608458 x1,646:12181704,7608458 ) g1,646:12390290,7529815 x1,646:12828065,7529815 g1,646:12973700,7529815 x1,646:13477151,7529815 g1,646:13579095,7529815 x1,646:14016870,7529815 g1,646:14118814,7529815 x1,646:14353695,7529815 x1,646:14596964,7529815 (1,646:14596964,7313284:223106,174762,0 x1,646:14757119,7313284 ) x1,646:15092230,7529815 (1,646:15092230,7608458:211501,224696,0 x1,646:15243101,7608458 ) g1,646:15828019,7529815 x1,646:15982759,7529815 g1,646:16507047,7529815 g1,646:16594428,7529815 x1,646:16882062,7529815 (1,646:16882062,7618919:841446,269459,76458 x1,646:17144209,7618919 x1,646:17660557,7618919 ) g1,646:17869143,7529815 x1,646:18306918,7529815 g1,646:18452553,7529815 x1,646:18709239,7529815 (1,646:18709239,7618919:322777,269459,76458 x1,646:18971386,7618919 ) x1,646:19304176,7529815 (1,646:19304176,7608458:730170,238682,33816 x1,646:19455047,7608458 x1,646:19971395,7608458 ) ) (1,646:2621442,8721945:26033786,382988,8646 (1,646:2621442,8721945:0,233017,0 g1,646:2621442,8721945 g1,646:1638400,8721945 g1,646:1310720,8721945 (1,646:1310720,8721945:983042,233017,0 k1,646:2293762,8721945:983042 (1,646:2293762,8721945:0,233017,0 k1,646:2108074,8721945:-185688 x1,646:2293762,8721945 ) ) g1,646:2621442,8721945 ) x1,646:3437225,8721945 x1,646:4107260,8721945 k1,646:16381244,8721945:12273984 k1,646:28655228,8721945:12273984 ) (1,646:2621442,10030919:26033786,917241,685131 k1,646:7447271,10030919:4825829 (1,646:7447271,10030919:660816,917241,685131 g1,646:7447271,10030919 $1,646:7447271,10030919 (1,646:7447271,10030919:660816,361758,78643 x1,646:7896586,10030919 (1,646:7896586,10109562:211501,224696,0 x1,646:8047457,10109562 ) ) $1,646:8108087,10030919 ) k1,646:8108087,10030919:0 (1,646:8108087,10030919:1093135,917241,685131 g1,646:8763447,10030919 g1,646:8763447,10030919 $1,646:8763447,10030919 (1,646:8763447,10030919:437775,199753,0 x1,646:9201222,10030919 ) $1,646:9201222,10030919 g1,646:9201222,10030919 ) k1,646:9201222,10030919:0 (1,646:9201222,10030919:14628178,917241,685131 g1,646:9856582,10030919 $1,646:9856582,10030919 (1,646:9856582,10030919:13972818,917241,685131 x1,646:10566517,10030919 (1,646:10566517,10109562:211501,224696,0 x1,646:10717388,10109562 ) g1,646:10879962,10030919 x1,646:11317737,10030919 g1,646:11419681,10030919 x1,646:11691841,10030919 (1,646:11691841,10109562:211501,224696,0 x1,646:11842712,10109562 ) g1,646:11990723,10030919 (1,646:11990723,10030919:11838677,917241,685131 (1,646:11990723,9134125:435154,20447,1551892 x1,646:12425877,9134125 ) (1,646:12425877,10030919:541717,406650,129499 (1,646:12425877,10030919:291501,278918,0 (1,646:12425877,10030919:291501,278918,0 x1,646:12717378,10030919 ) ) [1,646:12717378,10160418:250216,536149,0 (1,646:12717378,9814388:250216,190119,3735 x1,646:12934826,9814388 ) (1,646:12717378,10160418:211501,224696,0 x1,646:12868249,10160418 ) ] ) (1,646:12967594,10030919:4212607,804650,597262 [1,646:12967594,10030919:727568,804650,597262 (1,646:12967594,9464689:727568,185991,0 k1,646:13158759,9464689:191165 x1,646:13503998,9464689 k1,646:13695162,9464689:191164 ) (1,646:12967594,10030919:727568,461373,199229 k1,646:13043282,10030919:75688 (1,646:13043282,10139971:576192,570425,90177 x1,646:13619474,10139971 ) k1,646:13695162,10030919:75688 ) (1,646:12967594,10575752:727568,258048,0 x1,646:13127749,10575752 x1,646:13695162,10575752 ) ] g1,646:13782543,10030919 x1,646:14103407,10030919 (1,646:14092921,9814388:223106,174762,0 x1,646:14253076,9814388 ) x1,646:14819478,10030919 g1,646:14921422,10030919 x1,646:15359197,10030919 g1,646:15461141,10030919 x1,646:15696022,10030919 x1,646:15939291,10030919 (1,646:15939291,9814388:223106,174762,0 x1,646:16099446,9814388 ) x1,646:16450031,10030919 (1,646:16450031,10109562:730170,238682,33816 x1,646:16600902,10109562 x1,646:17117250,10109562 ) ) g1,646:17282145,10030919 x1,646:17719920,10030919 g1,646:17821864,10030919 (1,646:17821864,10030919:576192,461373,685131 [1,646:17821864,10030919:576192,461373,685131 (1,646:17821864,10030919:576192,461373,199229 (1,646:17821864,10139971:576192,570425,90177 x1,646:18398056,10139971 ) ) (1,646:17821864,10587163:576192,269459,76458 k1,646:18036266,10587163:214402 x1,646:18147542,10587163 k1,646:18398056,10587163:214402 ) ] ) (1,646:18398056,10030919:579463,233017,165562 x1,646:18654742,10030919 (1,646:18654742,10120023:322777,269459,76458 x1,646:18916889,10120023 ) ) (1,646:18977519,10030919:4416727,804650,597262 [1,646:18977519,10030919:727568,804650,597262 (1,646:18977519,9464689:727568,185991,0 k1,646:19168684,9464689:191165 x1,646:19513923,9464689 k1,646:19705087,9464689:191164 ) (1,646:18977519,10030919:727568,461373,199229 k1,646:19053207,10030919:75688 (1,646:19053207,10139971:576192,570425,90177 x1,646:19629399,10139971 ) k1,646:19705087,10030919:75688 ) (1,646:18977519,10575752:727568,258048,0 x1,646:19137674,10575752 x1,646:19705087,10575752 ) ] g1,646:19792468,10030919 x1,646:20113332,10030919 (1,646:20102846,9814388:223106,174762,0 x1,646:20263001,9814388 ) x1,646:20829403,10030919 g1,646:20931347,10030919 x1,646:21369122,10030919 g1,646:21471066,10030919 x1,646:21705947,10030919 x1,646:21949216,10030919 (1,646:21949216,9814388:223106,174762,0 x1,646:22109371,9814388 ) x1,646:22552800,10030919 (1,646:22552800,10120023:841446,269459,76458 x1,646:22814947,10120023 x1,646:23331295,10120023 ) ) (1,646:23394246,9134125:435154,20447,1551892 x1,646:23829400,9134125 ) ) ) $1,646:23829400,10030919 g1,646:23829400,10030919 ) k1,646:28655228,10030919:4825828 (1,646:28655228,10030919:0,917241,685131 (1,646:28655228,10030919:0,0,0 g1,646:28655228,10030919 ) ) k1,646:28655228,10030919:0 ) (1,646:2621442,11388187:26033786,409993,165562 (1,646:2621442,11388187:0,233017,0 g1,646:2621442,11388187 g1,646:1638400,11388187 g1,646:1310720,11388187 (1,646:1310720,11388187:983042,233017,0 k1,646:2293762,11388187:983042 (1,646:2293762,11388187:0,233017,0 k1,646:2108074,11388187:-185688 x1,646:2293762,11388187 ) ) g1,646:2621442,11388187 ) x1,646:4369397,11388187 x1,646:5221877,11388187 x1,646:5367624,11388187 g1,646:5547969,11388187 x1,646:6334394,11388187 x1,646:6904289,11388187 g1,646:7050036,11388187 $1,646:7050036,11388187 x1,646:7430514,11388187 (1,646:7430514,11477291:322777,269459,76458 x1,646:7692661,11477291 ) $1,646:7753291,11388187 x1,646:7899038,11388187 g1,646:8079383,11388187 x1,646:8544945,11388187 g1,646:8690692,11388187 $1,646:8690692,11388187 x1,646:9009275,11388187 x1,646:9761130,11388187 x1,646:10304650,11388187 (1,646:10304650,11477291:322777,269459,76458 x1,646:10566797,11477291 ) x1,646:10852346,11388187 $1,646:10852346,11388187 g1,646:10998093,11388187 x1,646:11304275,11388187 g1,646:11450022,11388187 $1,646:11450022,11388187 x1,646:12124256,11388187 (1,646:12124256,11466830:211501,224696,0 x1,646:12275127,11466830 ) g1,646:12481392,11388187 x1,646:12919167,11388187 g1,646:13064802,11388187 x1,646:13568253,11388187 $1,646:13568253,11388187 g1,646:13714000,11388187 x1,646:14908836,11388187 x1,646:16058065,11388187 g1,646:16203812,11388187 $1,646:16203812,11388187 x1,646:16460498,11388187 (1,646:16460498,11477291:322777,269459,76458 x1,646:16722645,11477291 ) $1,646:16783275,11388187 g1,646:16929022,11388187 x1,646:17803525,11388187 g1,646:17949272,11388187 $1,646:17949272,11388187 x1,646:18236906,11388187 (1,646:18236906,11466830:211501,224696,0 x1,646:18387777,11466830 ) $1,646:18448407,11388187 x1,646:18594154,11388187 g1,646:18739901,11388187 x1,646:19321853,11388187 g1,646:19467600,11388187 $1,646:19467600,11388187 x1,646:19739760,11388187 (1,646:19739760,11466830:211501,224696,0 x1,646:19890631,11466830 ) $1,646:19951261,11388187 g1,646:20097008,11388187 x1,646:21145572,11388187 g1,646:21291319,11388187 $1,646:21291319,11388187 x1,646:21647221,11388187 (1,646:21647221,11466830:211501,224696,0 x1,646:21798092,11466830 ) g1,646:22004357,11388187 x1,646:22442132,11388187 g1,646:22587767,11388187 x1,646:22959143,11388187 (1,646:22959143,11466830:211501,224696,0 x1,646:23110014,11466830 ) $1,646:23170644,11388187 g1,646:23316391,11388187 k1,646:25985810,11388187:2669419 k1,646:28655228,11388187:2669418 ) (1,646:10892772,12631190:9491127,507903,136839 x1,646:11248674,12631190 (1,646:11248674,12709833:211501,224696,0 x1,646:11399545,12709833 ) g1,646:11605810,12631190 x1,646:12043585,12631190 g1,646:12189220,12631190 x1,646:12435111,12631190 x1,646:12738473,12631190 [1,646:12738473,12759415:632395,636128,0 (1,646:12759688,12361969:611180,238682,88866 x1,646:13332202,12361969 ) (1,646:12738473,12759415:211501,224696,0 x1,646:12889344,12759415 ) ] g1,646:13895156,12631190 x1,646:14049896,12631190 g1,646:14574184,12631190 g1,646:14661565,12631190 x1,646:15032941,12631190 (1,646:15032941,12709833:211501,224696,0 x1,646:15183812,12709833 ) g1,646:15390077,12631190 x1,646:15827852,12631190 g1,646:15973487,12631190 x1,646:16476938,12631190 g1,646:16578882,12631190 x1,646:17016657,12631190 g1,646:17118601,12631190 x1,646:17353482,12631190 x1,646:17968127,12631190 (1,646:17968127,12709833:748731,258048,33816 x1,646:18118998,12709833 x1,646:18684090,12709833 ) g1,646:18818802,12631190 x1,646:19256577,12631190 g1,646:19358521,12631190 x1,646:19630681,12631190 (1,646:19630681,12709833:211501,224696,0 x1,646:19781552,12709833 ) (1,646:19842182,12631190:291501,278918,0 (1,646:19842182,12631190:291501,278918,0 x1,646:20133683,12631190 ) ) [1,646:20133683,12760689:250216,536149,0 (1,646:20133683,12414659:250216,190119,3735 x1,646:20351131,12414659 ) (1,646:20133683,12760689:211501,224696,0 x1,646:20284554,12760689 ) ] ) (1,646:2621442,13450390:26033786,409993,165562 (1,646:2621442,13450390:0,233017,0 g1,646:2621442,13450390 g1,646:1638400,13450390 g1,646:1310720,13450390 (1,646:1310720,13450390:983042,233017,0 k1,646:2293762,13450390:983042 (1,646:2293762,13450390:0,233017,0 k1,646:2108074,13450390:-185688 x1,646:2293762,13450390 ) ) g1,646:2621442,13450390 ) x1,646:2941781,13450390 x1,646:3392143,13450390 g1,646:3537890,13450390 x1,646:4732718,13450390 g1,646:4878465,13450390 x1,646:5898715,13450390 g1,646:6044462,13450390 x1,646:7064712,13450390 g1,646:7210459,13450390 $1,646:7210459,13450390 x1,646:7603680,13450390 (1,646:7603680,13539494:841446,269459,76458 x1,646:7865827,13539494 x1,646:8382175,13539494 ) g1,646:8590761,13450390 x1,646:9028536,13450390 g1,646:9174171,13450390 x1,646:9677622,13450390 g1,646:9779566,13450390 x1,646:10217341,13450390 g1,646:10319285,13450390 x1,646:10554166,13450390 x1,646:11190656,13450390 (1,646:11190656,13539494:322777,269459,76458 x1,646:11452803,13539494 ) g1,646:11615377,13450390 x1,646:12053152,13450390 g1,646:12155096,13450390 x1,646:12427256,13450390 (1,646:12427256,13529033:211501,224696,0 x1,646:12578127,13529033 ) x1,646:12895443,13450390 (1,646:12895443,13539494:322777,269459,76458 x1,646:13157590,13539494 ) $1,646:13218220,13450390 x1,646:13363967,13450390 g1,646:13544312,13450390 x1,646:14884902,13450390 g1,646:15030649,13450390 x1,646:16545830,13450390 g1,646:16691577,13450390 x1,646:17070111,13450390 x1,646:18055762,13450390 g1,646:18201509,13450390 x1,646:19133688,13450390 x1,646:19412082,13450390 g1,646:19557829,13450390 $1,646:19557829,13450390 x1,646:19938307,13450390 (1,646:19938307,13539494:322777,269459,76458 x1,646:20200454,13539494 ) x1,646:20879543,13450390 (1,646:20879543,13529033:211501,224696,0 x1,646:21030414,13529033 ) g1,646:21236679,13450390 x1,646:21674454,13450390 g1,646:21820089,13450390 x1,646:22202388,13450390 x1,646:22716781,13450390 (1,646:22716781,13539494:322777,269459,76458 x1,646:22978928,13539494 ) x1,646:23194298,13450390 g1,646:23281679,13450390 x1,646:23674900,13450390 (1,646:23674900,13539494:322777,269459,76458 x1,646:23937047,13539494 ) x1,646:24222596,13450390 $1,646:24222596,13450390 x1,646:24368343,13450390 g1,646:24548688,13450390 x1,646:25597251,13450390 g1,646:25742998,13450390 x1,646:26907957,13450390 k1,646:28655228,13450390:1747271 ) (1,646:2621442,14072982:26033786,382988,116653 x1,646:3524777,14072982 x1,646:5373930,14072982 x1,646:5511818,14072982 g1,646:5657565,14072982 x1,646:6211210,14072982 g1,646:6356957,14072982 x1,646:6764848,14072982 g1,646:6910595,14072982 x1,646:8483446,14072982 x1,646:8587253,14072982 g1,646:8733000,14072982 x1,646:9548782,14072982 g1,646:9694529,14072982 x1,646:10073063,14072982 g1,646:10218810,14072982 x1,646:10801287,14072982 x1,646:12107271,14072982 x1,646:12253018,14072982 x1,646:13081914,14072982 x1,646:13831643,14072982 g1,646:13977390,14072982 x1,646:14414638,14072982 g1,646:14560385,14072982 x1,646:15696507,14072982 x1,646:16469820,14072982 x1,646:17193327,14072982 x1,646:17331215,14072982 g1,646:17511560,14072982 x1,646:18560123,14072982 g1,646:18705870,14072982 x1,646:19084404,14072982 x1,646:20215802,14072982 g1,646:20361549,14072982 x1,646:20653050,14072982 x1,646:20944551,14072982 x1,646:21890362,14072982 g1,646:22036109,14072982 x1,646:23638316,14072982 g1,646:23784063,14072982 x1,646:25299239,14072982 x1,646:25418771,14072982 k1,646:27037000,14072982:1618229 k1,646:28655228,14072982:1618228 ) (1,646:2621442,14826646:26033786,382988,116653 (1,646:2621442,14826646:0,233017,0 g1,646:2621442,14826646 g1,646:1638400,14826646 g1,646:1310720,14826646 (1,646:1310720,14826646:983042,233017,0 k1,646:2293762,14826646:983042 (1,646:2293762,14826646:0,233017,0 k1,646:2108074,14826646:-185688 x1,646:2293762,14826646 ) ) g1,646:2621442,14826646 ) x1,646:3291477,14826646 x1,646:3662152,14826646 x1,646:3948411,14826646 x1,646:4194830,14826646 x1,646:4647810,14826646 g1,646:4793557,14826646 x1,646:5522306,14826646 g1,646:5668053,14826646 x1,646:5959554,14826646 x1,646:6619106,14826646 x1,646:6870767,14826646 g1,646:7016514,14826646 x1,646:9375791,14826646 g1,646:9521538,14826646 x1,646:10453710,14826646 g1,646:10599457,14826646 x1,646:11677378,14826646 x1,646:12580713,14826646 x1,646:12858582,14826646 g1,646:13004329,14826646 x1,646:14577706,14826646 g1,646:14723453,14826646 x1,646:15772017,14826646 g1,646:15917764,14826646 x1,646:17083243,14826646 g1,646:17228990,14826646 x1,646:19123225,14826646 g1,646:19268972,14826646 x1,646:20230508,14826646 g1,646:20376255,14826646 x1,646:21366630,14826646 x1,646:21909792,14826646 g1,646:22055539,14826646 x1,646:22871321,14826646 g1,646:23017068,14826646 x1,646:24386495,14826646 g1,646:24532242,14826646 x1,646:24852575,14826646 x1,646:26129727,14826646 x1,646:26527135,14826646 x1,646:26665023,14826646 k1,646:27660126,14826646:995103 k1,646:28655228,14826646:995102 ) ] ] (1,646:1310720,16782118:27344508,0,0 (1,646:1310720,16782118:27344508,0,0 [1,646:1310720,16782118:27344508,0,0 (1,646:1310720,16782118:27344508,0,0 (1,646:1310720,16782118:27344508,0,0 g1,646:0,16782118 (1,646:0,16782118:29834876,0,0 [1,646:0,16782118:29834876,0,0 (1,646:0,16782118:29834876,0,0 h1,646:0,16782118:0,0,0 $1,646:0,16782118 [1,646:0,16782118:20511477,-133806,-297646 (1,646:0,16411564:20511477,241500,72908 h1,646:0,16411564:0,0,0 k1,646:1305270,16411564:1305270 k1,646:1382640,16411564:77370 k1,646:1452107,16411564:69467 x1,646:2089439,16411564 x1,646:2453815,16411564 x1,646:2611103,16411564 k1,646:2680570,16411564:69467 x1,646:2789686,16411564 k1,646:2859153,16411564:69467 x1,646:3332321,16411564 x1,646:3842189,16411564 x1,646:4443149,16411564 k1,646:4512616,16411564:69467 x1,646:4785895,16411564 k1,646:4855362,16411564:69467 x1,646:5638181,16411564 k1,646:5707648,16411564:69467 x1,646:5980927,16411564 k1,646:6050394,16411564:69467 x1,646:6305326,16411564 k1,646:6374793,16411564:69467 x1,646:7321777,16411564 k1,646:7391244,16411564:69467 k1,646:27902721,16411564:20511477 k1,646:27972188,16411564:69467 x1,646:28154376,16411564 x1,646:29053841,16411564 k1,646:29053841,16411564:0 k1,646:29053841,16411564:0 ) ] $1,646:20511477,16782118 k1,646:29834876,16782118:9323399 g1,646:29834876,16782118 ) ] ) g1,646:28655228,16782118 ) g1,646:28655228,16782118 g1,646:28655228,16782118 ) h1,646:1310720,16782118:0,0,0 ] g1,646:28655228,16782118 ) ) ] ] ] !25965 }31 !11 {32 [1,674:4736286,16782118:23918942,12045832,0 (1,674:4736286,4736286:0,0,0 [1,674:0,4736286:0,0,0 (1,674:0,0:0,0,0 (1,674:0,4736286:0,0,0 k1,674:0,4736286:4736286 ) g1,674:0,0 ) ] ) [1,674:4736286,4736286:0,0,0 (1,674:4736286,-65781:0,0,0 k1,674:4736286,-65781:3491347 ) ] [1,674:4736286,16782118:23918942,12045832,0 [1,674:1310720,16782118:27344508,16782118,0 [1,674:1310720,0:27344508,0,0 (1,674:1310720,0:27344508,0,0 (1,674:1310720,0:27344508,0,0 [1,674:1310720,0:27344508,0,0 (1,674:1310720,0:-1310720,0,0 g1,674:0,0 (1,674:0,0:0,0,0 (1,674:0,16782118:29834876,16782118,0 (1,674:0,16782118:29834876,16782118,0 r1,674:29834876,16782118:29834876,16782118,0 ) ) ) (1,674:0,0:0,0,0 (1,674:0,0:0,0,0 (1,674:0,0:0,0,0 ) ) ) ) (1,674:1310720,0:-2490368,0,0 (1,674:1310720,0:0,0,0 (1,674:1310720,16782118:-1310720,16782118,0 (1,674:1310720,16782118:-1310720,16782118,0 g1,674:0,16782118 (1,674:0,16782118:0,0,0 [1,674:0,16782118:27344508,16782118,0 (1,674:0,16782118:27344508,16782118,0 h1,674:0,16782118:0,0,0 r1,674:0,16782118:0,16782118,0 k1,674:27344508,16782118:27344508 g1,674:27344508,16782118 ) ] ) [1,674:0,16782118:0,16782118,0 ] ) ) ) (1,674:1310720,0:0,0,0 (1,674:1310720,16782118:28524156,16782118,0 (1,674:1310720,16782118:28524156,16782118,0 g1,674:28655228,16782118 g1,674:29834876,16782118 (1,674:29834876,16782118:0,0,0 [1,674:29834876,16782118:27344508,16782118,0 (1,674:29834876,16782118:27344508,16782118,0 h1,674:29834876,16782118:0,0,0 r1,674:29834876,16782118:0,16782118,0 k1,674:57179384,16782118:27344508 g1,674:57179384,16782118 ) ] ) [1,674:29834876,16782118:0,16782118,0 (1,674:29834876,16192294:0,0,0 k1,674:29648397,16192294:-186479 g1,674:29834876,16192294 ) (1,674:29834876,16651046:0,0,0 k1,674:29648397,16651046:-186479 g1,674:29834876,16651046 ) ] ) ) ) (1,674:1310720,0:-2490368,0,0 g1,674:0,0 (1,674:0,0:0,0,0 [1,674:0,0:0,0,0 ] ) g1,674:-1179648,0 ) g1,674:-1179648,0 ) (1,674:1310720,0:27344508,0,0 h1,674:1310720,0:0,0,0 k1,674:28655228,0:27344508 g1,674:28655228,0 ) ] ) ) ] [1,674:1310720,16519974:27344508,16519974,0 [1,674:1310720,16519974:27344508,16519974,0 v1,674:1310720,0:0,0,0 v1,674:1310720,0:0,0,0 (1,674:1310720,1532292:27344508,655359,363719 h1,674:1310720,1532292:0,0,0 g1,674:2056580,1532292 $1,674:2056580,1532292 [1,674:2056580,1532292:21647823,579600,363719 (1,674:2056580,1532292:21647823,579600,174979 x1,674:4504713,1532292 g1,674:4723333,1532292 x1,674:5947007,1532292 x1,674:6963847,1532292 g1,674:7182467,1532292 x1,674:9455229,1532292 g1,674:9673849,1532292 x1,674:10329720,1532292 g1,674:10548340,1532292 x1,674:12907616,1532292 g1,674:13126236,1532292 x1,674:15398998,1532292 g1,674:15617618,1532292 x1,674:19289424,1532292 g1,674:19508044,1532292 x1,674:23311187,1532292 x1,674:23704403,1532292 ) ] $1,674:23704403,1532292 x1,674:24344462,1532292 k1,674:26499845,1532292:2155383 k1,674:28655228,1532292:2155383 ) v1,674:1310720,2059851:0,0,0 (1,674:2621442,3559375:26033786,512491,212718 (1,674:2621442,3559375:0,291271,0 g1,674:2621442,3559375 g1,674:1638400,3559375 g1,674:1310720,3559375 (1,674:1310720,3559375:983042,291271,0 k1,674:2293762,3559375:983042 (1,674:2293762,3559375:0,291271,0 k1,674:2075309,3559375:-218453 x1,674:2293762,3559375 ) ) g1,674:2621442,3559375 ) x1,674:4989225,3559375 g1,674:5171408,3559375 x1,674:5389640,3559375 g1,674:5571823,3559375 x1,674:8230590,3559375 g1,674:8412773,3559375 x1,674:10598372,3559375 g1,674:10780555,3559375 x1,674:13949842,3559375 g1,674:14132025,3559375 x1,674:14605193,3559375 x1,674:16016177,3559375 g1,674:16198360,3559375 $1,674:16198360,3559375 (1,674:16198360,3559375:7876016,512491,212718 [1,674:16198360,3559375:518844,422341,0 (1,674:16198360,3559375:518844,291271,0 x1,674:16646191,3559375 ) ] (1,674:16717204,3675246:400113,341315,96847 x1,674:17049257,3675246 ) g1,674:17299357,3559375 x1,674:17846575,3559375 g1,674:18028615,3559375 (1,674:18028615,3609838:519038,509215,80609 x1,674:18547653,3609838 ) [1,674:18547653,3741799:968282,684508,0 (1,674:18547653,3292879:470071,235588,0 x1,674:18984956,3292879 ) (1,674:18547653,3741799:968282,344064,0 x1,674:18750517,3741799 x1,674:19483167,3741799 ) ] g1,674:19625159,3559375 x1,674:20026239,3559375 (1,674:20013131,3321480:273864,221366,0 x1,674:20215995,3321480 ) x1,674:20895825,3559375 g1,674:21023253,3559375 x1,674:21570471,3559375 g1,674:21697899,3559375 x1,674:21991500,3559375 x1,674:22295586,3559375 (1,674:22295586,3321480:273864,221366,0 x1,674:22498450,3321480 ) x1,674:23017281,3559375 (1,674:23017281,3675246:1057095,341315,96847 x1,674:23349334,3675246 x1,674:24003376,3675246 ) ) $1,674:24074376,3559375 x1,674:24256559,3559375 g1,674:24438742,3559375 x1,674:25714053,3559375 g1,674:25896236,3559375 k1,674:27275732,3559375:1379496 k1,674:28655228,3559375:1379496 ) (1,674:2621442,5388256:26033786,882785,785185 g1,674:2621442,5388256 (1,674:2621442,5388256:5604440,882785,785185 g1,674:2621442,5388256 $1,674:2621442,5388256 (1,674:2621442,5388256:5604440,426142,131234 (1,674:2621442,5388256:3705215,426142,131234 (1,674:2621442,5388256:3705215,426142,131234 x1,674:3113345,5388256 g1,674:3316233,5388256 x1,674:4365517,5388256 x1,674:6326657,5388256 ) ) g1,674:6916481,5388256 g1,674:7506305,5388256 [1,674:7506305,5388256:478287,380104,0 (1,674:7506305,5388256:478287,262144,0 x1,674:7920452,5388256 ) ] (1,674:7984592,5476729:241290,262145,0 x1,674:8160608,5476729 ) ) $1,674:8225882,5388256 ) g1,674:8225882,5388256 (1,674:8225882,5388256:1311696,882785,785185 g1,674:8881242,5388256 g1,674:8881242,5388256 $1,674:8881242,5388256 (1,674:8881242,5388256:656336,701120,406208 x1,674:9373738,5388256 g1,674:9537578,5388256 (1,674:9537578,5388256:0,701120,406208 $1,674:9537578,5388256 (1,674:9537578,5388256:0,701120,406208 h1,674:9537578,5388256:0,0,0 v1,674:9537578,5388256:0,701120,406208 h1,674:9537578,5388256:0,0,0 ) $1,674:9537578,5388256 ) ) $1,674:9537578,5388256 g1,674:9537578,5388256 ) g1,674:9537578,5388256 (1,674:9537578,5388256:19312942,882785,785185 g1,674:10192938,5388256 $1,674:10192938,5388256 (1,674:10192938,5388256:5348073,882785,785185 (1,674:10192938,5388256:293142,701120,406208 $1,674:10192938,5388256 (1,674:10192938,5388256:293142,701120,406208 (1,674:10192938,4733256:293142,23002,1038090 x1,674:10486080,4733256 ) v1,674:10486080,5388256:0,701120,406208 h1,674:10486080,5388256:0,0,0 ) $1,674:10486080,5388256 ) g1,674:10584384,5388256 [1,674:10584384,5388256:648216,519044,785185 (1,674:10584384,5388256:648216,519044,224133 (1,674:10584384,5510940:648216,641728,101449 x1,674:11232600,5510940 ) ) (1,674:10584384,6025258:648216,314369,89201 k1,674:10822516,6025258:238132 x1,674:10952338,6025258 k1,674:11232600,6025258:238131 ) ] g1,674:11330904,5388256 x1,674:11594411,5388256 [1,674:11594411,5594094:365968,831934,89201 (1,674:11645943,5058513:314436,296353,126844 x1,674:11916143,5058513 ) (1,674:11594411,5594094:204721,314369,89201 x1,674:11724233,5594094 ) ] [1,674:11960379,5388256:478287,380104,0 (1,674:11960379,5388256:478287,262144,0 x1,674:12374526,5388256 ) ] [1,674:12438666,5594094:941204,836637,89201 (1,674:12438666,5058513:941204,301056,126844 x1,674:13335634,5058513 ) (1,674:12438666,5594094:371112,314369,89201 x1,674:12744504,5594094 ) ] (1,674:13379870,5388256:293142,701120,406208 $1,674:13379870,5388256 (1,674:13379870,5388256:293142,701120,406208 (1,674:13379870,4733256:293142,23002,1038090 x1,674:13673012,4733256 ) v1,674:13673012,5388256:0,701120,406208 h1,674:13673012,5388256:0,0,0 ) $1,674:13673012,5388256 ) (1,674:13673012,4864214:1867999,358743,126844 x1,674:15299971,4864214 x1,674:15508243,4864214 ) h1,674:15541011,5388256:0,0,0 ) $1,674:15541011,5388256 k1,674:28850520,5388256:13309509 ) g1,674:28850520,5388256 (1,674:28850520,5388256:0,882785,785185 (1,674:28850520,5388256:0,0,0 g1,674:28850520,5388256 ) ) g1,674:28850520,5388256 ) (1,674:2621442,7348564:26033786,912979,682671 g1,674:2621442,7348564 (1,674:2621442,7348564:5604440,912979,682671 k1,674:7647671,7348564:5026229 $1,674:7647671,7348564 (1,674:7647671,7348564:578211,380104,137625 [1,674:7647671,7348564:336921,380104,114688 (1,674:7647671,7348564:336921,262144,114688 x1,674:7960784,7348564 ) ] (1,674:7984592,7486189:241290,262145,0 x1,674:8160608,7486189 ) ) $1,674:8225882,7348564 ) g1,674:8225882,7348564 (1,674:8225882,7348564:1311696,912979,682671 g1,674:8881242,7348564 g1,674:8881242,7348564 $1,674:8881242,7348564 (1,674:8881242,7348564:656336,701120,406208 x1,674:9373738,7348564 g1,674:9537578,7348564 (1,674:9537578,7348564:0,701120,406208 $1,674:9537578,7348564 (1,674:9537578,7348564:0,701120,406208 h1,674:9537578,7348564:0,0,0 v1,674:9537578,7348564:0,701120,406208 h1,674:9537578,7348564:0,0,0 ) $1,674:9537578,7348564 ) ) $1,674:9537578,7348564 g1,674:9537578,7348564 ) g1,674:9537578,7348564 (1,674:9537578,7348564:19312942,912979,682671 g1,674:10192938,7348564 $1,674:10192938,7348564 (1,674:10192938,7348564:18657582,912979,682671 (1,674:10192938,7348564:3540356,835222,560573 (1,674:10192938,7348564:3540356,835222,560573 h1,674:10192938,7348564:78643,0,0 [1,674:10271581,7348564:3383070,835222,560573 (1,674:10271581,6949254:3383070,435912,146764 k1,674:11580744,6949254:1309163 [1,674:11580744,6949254:478287,380104,0 (1,674:11580744,6949254:478287,262144,0 x1,674:11994891,6949254 ) ] [1,674:12059031,7096018:286458,582676,0 (1,674:12059031,6735148:286458,221806,4357 x1,674:12312721,6735148 ) (1,674:12059031,7096018:241290,262145,0 x1,674:12235047,7096018 ) ] k1,674:13654651,6949254:1309162 ) (1,674:10271581,7755194:3383070,471514,153943 x1,674:10597753,7755194 (1,674:10597753,7584736:286458,221806,4357 x1,674:10851443,7584736 ) x1,674:11440347,7755194 g1,674:11555035,7755194 x1,674:12047531,7755194 g1,674:12162219,7755194 x1,674:12741425,7755194 (1,674:12741425,7584736:913226,301056,39452 x1,674:13621883,7584736 ) ) ] h1,674:13654651,7348564:78643,0,0 ) ) g1,674:14323118,7348564 x1,674:14491508,7348564 g1,674:15081332,7348564 g1,674:15179636,7348564 (1,674:15179636,7348564:6179800,912979,682671 [1,674:15179636,7348564:336921,380104,114688 (1,674:15179636,7348564:336921,262144,114688 x1,674:15492749,7348564 ) ] (1,674:15516557,7486189:241290,262145,0 x1,674:15692573,7486189 ) g1,674:15921687,7348564 x1,674:16414183,7348564 g1,674:16578023,7348564 [1,674:16578023,7348564:848830,912979,682671 (1,674:16578023,6711556:848830,216989,0 k1,674:16801049,6711556:223026 x1,674:17203828,6711556 k1,674:17426853,6711556:223025 ) (1,674:16578023,7348564:848830,519044,224133 k1,674:16678330,7348564:100307 (1,674:16678330,7471248:648216,641728,101449 x1,674:17326546,7471248 ) k1,674:17426853,7348564:100307 ) (1,674:16578023,7972253:848830,301056,0 x1,674:16764871,7972253 x1,674:17426853,7972253 ) ] g1,674:17525157,7348564 x1,674:17886129,7348564 (1,674:17874332,7104967:254830,203889,0 x1,674:18061180,7104967 ) x1,674:18685298,7348564 g1,674:18799986,7348564 x1,674:19292482,7348564 g1,674:19407170,7348564 x1,674:19671411,7348564 x1,674:19945088,7348564 (1,674:19945088,7104967:254830,203889,0 x1,674:20131936,7104967 ) x1,674:20513031,7348564 (1,674:20513031,7437037:846405,278462,39452 x1,674:20689047,7437037 x1,674:21291454,7437037 ) ) g1,674:21949260,7348564 x1,674:22117650,7348564 g1,674:22707474,7348564 g1,674:22805778,7348564 x1,674:23118891,7348564 (1,674:23118891,7437037:241290,262145,0 x1,674:23294907,7437037 ) g1,674:23524021,7348564 x1,674:24016517,7348564 g1,674:24180357,7348564 [1,674:24180357,7348564:336921,380104,114688 (1,674:24180357,7348564:336921,262144,114688 x1,674:24493470,7348564 ) ] (1,674:24517278,7486189:241290,262145,0 x1,674:24693294,7486189 ) g1,674:24873256,7348564 x1,674:25365752,7348564 g1,674:25480440,7348564 x1,674:25841412,7348564 x1,674:26385751,7348564 g1,674:26500439,7348564 x1,674:26992935,7348564 g1,674:27107623,7348564 x1,674:27371864,7348564 x1,674:27645541,7348564 [1,674:27645541,7348564:336921,380104,114688 (1,674:27645541,7348564:336921,262144,114688 x1,674:27958654,7348564 ) ] (1,674:27982462,7486189:868058,301056,39452 x1,674:28158478,7486189 x1,674:28817752,7486189 ) h1,674:28850520,7348564:0,0,0 ) $1,674:28850520,7348564 g1,674:28850520,7348564 ) g1,674:28850520,7348564 (1,674:28850520,7348564:0,912979,682671 (1,674:28850520,7348564:0,0,0 g1,674:28850520,7348564 ) ) g1,674:28850520,7348564 ) (1,674:2621442,9329993:26033786,1036614,560037 g1,674:2621442,9329993 (1,674:2621442,9329993:5604440,1036614,560037 k1,674:7656654,9329993:5035212 $1,674:7656654,9329993 (1,674:7656654,9329993:569228,313783,88473 (1,674:7656654,9329993:327938,313783,0 (1,674:7656654,9329993:327938,313783,0 x1,674:7984592,9329993 ) ) (1,674:7984592,9418466:241290,262145,0 x1,674:8160608,9418466 ) ) $1,674:8225882,9329993 ) g1,674:8225882,9329993 (1,674:8225882,9329993:1311696,1036614,560037 g1,674:8881242,9329993 g1,674:8881242,9329993 $1,674:8881242,9329993 (1,674:8881242,9329993:656336,701120,406208 x1,674:9373738,9329993 g1,674:9537578,9329993 (1,674:9537578,9329993:0,701120,406208 $1,674:9537578,9329993 (1,674:9537578,9329993:0,701120,406208 h1,674:9537578,9329993:0,0,0 v1,674:9537578,9329993:0,701120,406208 h1,674:9537578,9329993:0,0,0 ) $1,674:9537578,9329993 ) ) $1,674:9537578,9329993 g1,674:9537578,9329993 ) g1,674:9537578,9329993 (1,674:9537578,9329993:19312942,1036614,560037 g1,674:10192938,9329993 $1,674:10192938,9329993 (1,674:10192938,9329993:3897694,1036614,560037 (1,674:10192938,9329993:1959369,854949,560037 (1,674:10192938,8498046:448850,23002,1391984 x1,674:10641788,8498046 ) (1,674:10641788,9329993:1061669,779414,493092 (1,674:10641788,9329993:1061669,779414,493092 h1,674:10641788,9329993:78643,0,0 [1,674:10720431,9329993:904383,779414,493092 (1,674:10720431,8930683:904383,380104,137625 x1,674:11046603,8930683 [1,674:11046603,8930683:336921,380104,114688 (1,674:11046603,8930683:336921,262144,114688 x1,674:11359716,8930683 ) ] (1,674:11383524,9068308:241290,262145,0 x1,674:11559540,9068308 ) ) (1,674:10720431,9734612:904383,380104,88473 k1,674:10812834,9734612:92403 [1,674:10812834,9734612:478287,380104,0 (1,674:10812834,9734612:478287,262144,0 x1,674:11226981,9734612 ) ] (1,674:11291121,9823085:241290,262145,0 x1,674:11467137,9823085 ) k1,674:11624814,9734612:92403 ) ] h1,674:11624814,9329993:78643,0,0 ) ) (1,674:11703457,8498046:448850,23002,1391984 x1,674:12152307,8498046 ) ) (1,674:12152307,8652122:1840021,358743,119734 x1,674:13959560,8652122 ) g1,674:14090632,9329993 h1,674:14090632,9329993:0,0,0 ) $1,674:14090632,9329993 k1,674:28850520,9329993:14759888 ) g1,674:28850520,9329993 (1,674:28850520,9329993:0,1036614,560037 (1,674:28850520,9329993:0,0,0 g1,674:28850520,9329993 ) ) g1,674:28850520,9329993 ) (1,674:2621442,10853294:26033786,701120,406208 g1,674:2621442,10853294 (1,674:2621442,10853294:5604440,701120,406208 k1,674:5420546,10853294:2799104 $1,674:5420546,10853294 (1,674:5420546,10853294:2805336,585038,153614 x1,674:5825592,10853294 (1,674:5825592,10941767:241290,262145,0 x1,674:6001608,10941767 ) g1,674:6230722,10853294 x1,674:6723218,10853294 g1,674:6887058,10853294 x1,674:7163685,10853294 x1,674:7494492,10853294 [1,674:7494492,11006908:731390,738652,0 (1,674:7518300,10546718:707582,278462,103677 x1,674:8186233,10546718 ) (1,674:7494492,11006908:241290,262145,0 x1,674:7670508,11006908 ) ] ) $1,674:8225882,10853294 ) g1,674:8225882,10853294 (1,674:8225882,10853294:1311696,701120,406208 g1,674:8881242,10853294 g1,674:8881242,10853294 $1,674:8881242,10853294 (1,674:8881242,10853294:656336,701120,406208 x1,674:9373738,10853294 g1,674:9537578,10853294 (1,674:9537578,10853294:0,701120,406208 $1,674:9537578,10853294 (1,674:9537578,10853294:0,701120,406208 h1,674:9537578,10853294:0,0,0 v1,674:9537578,10853294:0,701120,406208 h1,674:9537578,10853294:0,0,0 ) $1,674:9537578,10853294 ) ) $1,674:9537578,10853294 g1,674:9537578,10853294 ) g1,674:9537578,10853294 (1,674:9537578,10853294:19312942,701120,406208 g1,674:10192938,10853294 $1,674:10192938,10853294 (1,674:10192938,10853294:13885068,465403,153943 x1,674:10749074,10853294 g1,674:10863762,10853294 x1,674:11356258,10853294 g1,674:11470946,10853294 x1,674:11735187,10853294 x1,674:12413910,10853294 (1,674:12413910,10951451:868058,301056,39452 x1,674:12589926,10951451 x1,674:13249200,10951451 ) g1,674:13396656,10853294 x1,674:13889152,10853294 g1,674:14003840,10853294 x1,674:14300114,10853294 (1,674:14300114,10941767:241290,262145,0 x1,674:14476130,10941767 ) (1,674:14541404,10853294:327938,313783,0 (1,674:14541404,10853294:327938,313783,0 x1,674:14869342,10853294 ) ) [1,674:14869342,10998980:286458,611089,0 (1,674:14869342,10609697:286458,221806,4357 x1,674:15123032,10609697 ) (1,674:14869342,10998980:241290,262145,0 x1,674:15045358,10998980 ) ] g1,674:15745624,10853294 x1,674:15914014,10853294 g1,674:16503838,10853294 g1,674:16602142,10853294 (1,674:16602142,10853294:7475864,426142,137609 (1,674:16602142,10853294:7475864,426142,137609 x1,674:18437068,10853294 g1,674:18601033,10853294 $1,674:18601033,10853294 x1,674:18897307,10853294 (1,674:18897307,10941767:241290,262145,0 x1,674:19073323,10941767 ) $1,674:19138597,10853294 x1,674:19302562,10853294 g1,674:19466527,10853294 x1,674:20220316,10853294 x1,674:20861446,10853294 g1,674:21025411,10853294 $1,674:21025411,10853294 x1,674:21430457,10853294 (1,674:21430457,10951451:868058,301056,39452 x1,674:21606473,10951451 x1,674:22265747,10951451 ) $1,674:22298515,10853294 x1,674:22462480,10853294 g1,674:22626445,10853294 $1,674:22626445,10853294 x1,674:22939558,10853294 (1,674:22939558,10941767:241290,262145,0 x1,674:23115574,10941767 ) $1,674:23180848,10853294 x1,674:23344813,10853294 g1,674:23508778,10853294 $1,674:23508778,10853294 (1,674:23508778,10853294:327938,313783,0 (1,674:23508778,10853294:327938,313783,0 x1,674:23836716,10853294 ) ) (1,674:23836716,10941767:241290,262145,0 x1,674:24012732,10941767 ) $1,674:24078006,10853294 ) ) h1,674:24078006,10853294:0,0,0 ) $1,674:24078006,10853294 k1,674:28850520,10853294:4772514 ) g1,674:28850520,10853294 (1,674:28850520,10853294:0,701120,406208 (1,674:28850520,10853294:0,0,0 g1,674:28850520,10853294 ) ) g1,674:28850520,10853294 ) (1,674:2621442,11770798:26033786,0,0 g1,674:2621442,11770798 (1,674:2621442,11770798:5604440,0,0 k1,674:8225882,11770798:5604440 $1,674:8225882,11770798 h1,674:8225882,11770798:0,0,0 $1,674:8225882,11770798 ) g1,674:8225882,11770798 (1,674:8225882,11770798:1311696,0,0 g1,674:8881242,11770798 k1,674:9209410,11770798:328168 $1,674:9209410,11770798 h1,674:9209410,11770798:0,0,0 $1,674:9209410,11770798 k1,674:9537578,11770798:328168 ) g1,674:9537578,11770798 (1,674:9537578,11770798:19312942,0,0 g1,674:10192938,11770798 $1,674:10192938,11770798 h1,674:10192938,11770798:0,0,0 $1,674:10192938,11770798 k1,674:28850520,11770798:18657582 ) g1,674:28850520,11770798 (1,674:28850520,11770798:0,0,0 (1,674:28850520,11770798:0,0,0 g1,674:28850520,11770798 ) ) g1,674:28850520,11770798 ) (1,674:2621442,13007166:26033786,974224,560037 g1,674:2621442,13007166 (1,674:2621442,13007166:5604440,974224,560037 k1,674:2754178,13007166:132736 $1,674:2754178,13007166 (1,674:2754178,13007166:5471704,426142,200671 (1,674:2754178,13007166:3641509,426142,9727 (1,674:2754178,13007166:3641509,426142,9727 x1,674:3246081,13007166 g1,674:3448969,13007166 x1,674:4661045,13007166 x1,674:5128763,13007166 x1,674:6395687,13007166 ) ) g1,674:6985511,13007166 g1,674:7575335,13007166 x1,674:7854770,13007166 (1,674:7854770,13118636:371112,314369,89201 x1,674:8160608,13118636 ) ) $1,674:8225882,13007166 ) g1,674:8225882,13007166 (1,674:8225882,13007166:1311696,974224,560037 g1,674:8881242,13007166 g1,674:8881242,13007166 $1,674:8881242,13007166 (1,674:8881242,13007166:656336,701120,406208 x1,674:9373738,13007166 g1,674:9537578,13007166 (1,674:9537578,13007166:0,701120,406208 $1,674:9537578,13007166 (1,674:9537578,13007166:0,701120,406208 h1,674:9537578,13007166:0,0,0 v1,674:9537578,13007166:0,701120,406208 h1,674:9537578,13007166:0,0,0 ) $1,674:9537578,13007166 ) ) $1,674:9537578,13007166 g1,674:9537578,13007166 ) g1,674:9537578,13007166 (1,674:9537578,13007166:19312942,974224,560037 g1,674:10192938,13007166 $1,674:10192938,13007166 (1,674:10192938,13007166:3835938,974224,560037 x1,674:10456445,13007166 (1,674:10456445,13118636:204721,314369,89201 x1,674:10586267,13118636 ) g1,674:10759470,13007166 (1,674:10759470,13007166:1904385,854949,560037 (1,674:10759470,12175219:448850,23002,1391984 x1,674:11208320,12175219 ) (1,674:11208320,13007166:1006685,810803,493092 (1,674:11208320,13007166:1006685,810803,493092 h1,674:11208320,13007166:78643,0,0 [1,674:11286963,13007166:849399,810803,493092 (1,674:11286963,12576467:849399,380104,200671 [1,674:11286963,12576467:478287,380104,0 (1,674:11286963,12576467:478287,262144,0 x1,674:11701110,12576467 ) ] (1,674:11765250,12687937:371112,314369,89201 x1,674:12071088,12687937 ) ) (1,674:11286963,13411785:849399,380104,88473 k1,674:11351874,13411785:64911 [1,674:11351874,13411785:478287,380104,0 (1,674:11351874,13411785:478287,262144,0 x1,674:11766021,13411785 ) ] (1,674:11830161,13500258:241290,262145,0 x1,674:12006177,13500258 ) k1,674:12136362,13411785:64911 ) ] h1,674:12136362,13007166:78643,0,0 ) ) (1,674:12215005,12175219:448850,23002,1391984 x1,674:12663855,12175219 ) ) (1,674:12663855,12329295:697489,296353,126844 x1,674:13317108,12329295 ) g1,674:13459648,13007166 (1,674:13459648,13007166:327938,313783,0 (1,674:13459648,13007166:327938,313783,0 x1,674:13787586,13007166 ) ) (1,674:13787586,13095639:241290,262145,0 x1,674:13963602,13095639 ) h1,674:14028876,13007166:0,0,0 ) $1,674:14028876,13007166 k1,674:28850520,13007166:14821644 ) g1,674:28850520,13007166 (1,674:28850520,13007166:0,974224,560037 (1,674:28850520,13007166:0,0,0 g1,674:28850520,13007166 ) ) g1,674:28850520,13007166 ) (1,674:2621442,14530467:26033786,701120,406208 g1,674:2621442,14530467 (1,674:2621442,14530467:5604440,701120,406208 k1,674:7426058,14530467:4804616 $1,674:7426058,14530467 (1,674:7426058,14530467:799824,409600,200671 x1,674:7854770,14530467 (1,674:7854770,14641937:371112,314369,89201 x1,674:8160608,14641937 ) ) $1,674:8225882,14530467 ) g1,674:8225882,14530467 (1,674:8225882,14530467:1311696,701120,406208 g1,674:8881242,14530467 g1,674:8881242,14530467 $1,674:8881242,14530467 (1,674:8881242,14530467:656336,701120,406208 x1,674:9373738,14530467 g1,674:9537578,14530467 (1,674:9537578,14530467:0,701120,406208 $1,674:9537578,14530467 (1,674:9537578,14530467:0,701120,406208 h1,674:9537578,14530467:0,0,0 v1,674:9537578,14530467:0,701120,406208 h1,674:9537578,14530467:0,0,0 ) $1,674:9537578,14530467 ) ) $1,674:9537578,14530467 g1,674:9537578,14530467 ) g1,674:9537578,14530467 (1,674:9537578,14530467:19312942,701120,406208 g1,674:10192938,14530467 $1,674:10192938,14530467 (1,674:10192938,14530467:5152501,461242,200671 x1,674:10749074,14530467 g1,674:10863762,14530467 x1,674:11356258,14530467 g1,674:11470946,14530467 x1,674:11735187,14530467 x1,674:12437576,14530467 (1,674:12437576,14641937:997880,314369,89201 x1,674:12743414,14641937 x1,674:13402688,14641937 ) g1,674:13550144,14530467 x1,674:14042640,14530467 g1,674:14157328,14530467 x1,674:14453602,14530467 (1,674:14453602,14618940:241290,262145,0 x1,674:14629618,14618940 ) x1,674:14974327,14530467 (1,674:14974327,14641937:371112,314369,89201 x1,674:15280165,14641937 ) h1,674:15345439,14530467:0,0,0 ) $1,674:15345439,14530467 k1,674:28850520,14530467:13505081 ) g1,674:28850520,14530467 (1,674:28850520,14530467:0,701120,406208 (1,674:28850520,14530467:0,0,0 g1,674:28850520,14530467 ) ) g1,674:28850520,14530467 ) (1,674:2621442,15447971:26033786,0,0 g1,674:2621442,15447971 (1,674:2621442,15447971:5604440,0,0 k1,674:8225882,15447971:5604440 $1,674:8225882,15447971 h1,674:8225882,15447971:0,0,0 $1,674:8225882,15447971 ) g1,674:8225882,15447971 (1,674:8225882,15447971:1311696,0,0 g1,674:8881242,15447971 k1,674:9209410,15447971:328168 $1,674:9209410,15447971 h1,674:9209410,15447971:0,0,0 $1,674:9209410,15447971 k1,674:9537578,15447971:328168 ) g1,674:9537578,15447971 (1,674:9537578,15447971:19312942,0,0 g1,674:10192938,15447971 $1,674:10192938,15447971 h1,674:10192938,15447971:0,0,0 $1,674:10192938,15447971 k1,674:28850520,15447971:18657582 ) g1,674:28850520,15447971 (1,674:28850520,15447971:0,0,0 (1,674:28850520,15447971:0,0,0 g1,674:28850520,15447971 ) ) g1,674:28850520,15447971 ) ] ] (1,674:1310720,16782118:27344508,0,0 (1,674:1310720,16782118:27344508,0,0 [1,674:1310720,16782118:27344508,0,0 (1,674:1310720,16782118:27344508,0,0 (1,674:1310720,16782118:27344508,0,0 g1,674:0,16782118 (1,674:0,16782118:29834876,0,0 [1,674:0,16782118:29834876,0,0 (1,674:0,16782118:29834876,0,0 h1,674:0,16782118:0,0,0 $1,674:0,16782118 [1,674:0,16782118:20511477,-133806,-297646 (1,674:0,16411564:20511477,241500,72908 h1,674:0,16411564:0,0,0 k1,674:1305270,16411564:1305270 k1,674:1382640,16411564:77370 k1,674:1452107,16411564:69467 x1,674:2089439,16411564 x1,674:2453815,16411564 x1,674:2611103,16411564 k1,674:2680570,16411564:69467 x1,674:2789686,16411564 k1,674:2859153,16411564:69467 x1,674:3332321,16411564 x1,674:3842189,16411564 x1,674:4443149,16411564 k1,674:4512616,16411564:69467 x1,674:4785895,16411564 k1,674:4855362,16411564:69467 x1,674:5638181,16411564 k1,674:5707648,16411564:69467 x1,674:5980927,16411564 k1,674:6050394,16411564:69467 x1,674:6305326,16411564 k1,674:6374793,16411564:69467 x1,674:7321777,16411564 k1,674:7391244,16411564:69467 k1,674:27902721,16411564:20511477 k1,674:27972188,16411564:69467 x1,674:28154376,16411564 x1,674:29053841,16411564 k1,674:29053841,16411564:0 k1,674:29053841,16411564:0 ) ] $1,674:20511477,16782118 k1,674:29834876,16782118:9323399 g1,674:29834876,16782118 ) ] ) g1,674:28655228,16782118 ) g1,674:28655228,16782118 g1,674:28655228,16782118 ) h1,674:1310720,16782118:0,0,0 ] g1,674:28655228,16782118 ) ) ] ] ] !25735 }32 Input:187:C:\Users\Simon\Dropbox\29_Berger_Herkenhoff_Mongey\21_Minimum_Wage\2_Draft\Slides_Hurst_discussion_Portugal.aux !133 Postamble: Count:14666 !28 Post scriptum: